OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [cycloneiii/] [alt/] [aclkout.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
library grlib;
4
use grlib.stdlib.all;
5
library techmap;
6
use techmap.gencomp.all;
7
library cycloneiii;
8
use cycloneiii.all;
9
 
10
entity aclkout is
11
  port(
12
    clk     : in  std_logic;
13
    ddr_clk : out std_logic;
14
    ddr_clkn: out std_logic
15
  );
16
end;
17
architecture rtl of aclkout is
18
 
19
component cycloneiii_ddio_out
20
  generic(
21
    power_up                           :  string := "low";
22
    async_mode                         :  string := "none";
23
    sync_mode                          :  string := "none";
24
    lpm_type                           :  string := "cycloneiii_ddio_out"
25
  );
26
  port (
27
    datainlo                : in std_logic := '0';
28
    datainhi                : in std_logic := '0';
29
    clk                     : in std_logic := '0';
30
    ena                     : in std_logic := '1';
31
    areset                  : in std_logic := '0';
32
    sreset                  : in std_logic := '0';
33
    dataout                 : out std_logic;
34
    dfflo                   : out std_logic;
35
    dffhi                   : out std_logic-- ;         
36
    --devclrn                 : in std_logic := '1';   
37
    --devpor                  : in std_logic := '1'   
38
  );
39
end component;
40
 
41
component  cycloneiii_io_obuf
42
  generic(
43
    bus_hold    :       string := "false";
44
    open_drain_output   :       string := "false";
45
    lpm_type    :       string := "cycloneiii_io_obuf"
46
  );
47
  port(
48
    i   :       in std_logic := '0';
49
    oe  :       in std_logic := '1';
50
    --devoe : in std_logic := '1';
51
    o   :       out std_logic;
52
    obar        :       out std_logic--;
53
    --seriesterminationcontrol  :       in std_logic_vector(15 downto 0) := (others => '0')
54
  );
55
end component;
56
 
57
signal vcc      : std_logic;
58
signal gnd      : std_logic_vector(13 downto 0);
59
signal clk_reg, clkn_reg  : std_logic;
60
begin
61
  vcc <= '1'; gnd <= (others => '0');
62
 
63
  out_reg0 : cycloneiii_ddio_out
64
    generic map(
65
      power_up               => "low",
66
      async_mode             => "none",
67
      sync_mode              => "none",
68
      lpm_type               => "cycloneiii_ddio_out"
69
    )
70
    port map(
71
      datainlo => gnd(0),
72
      datainhi => vcc,
73
      clk      => clk,
74
      ena      => vcc,
75
      areset   => gnd(0),
76
      sreset   => gnd(0),
77
      dataout  => clk_reg,
78
      dfflo    => open,
79
      dffhi    => open--,    
80
      --devclrn  => vcc,   
81
      --devpor   => vcc  
82
    );
83
 
84
  outn_reg0 : cycloneiii_ddio_out
85
    generic map(
86
      power_up               => "low",
87
      async_mode             => "none",
88
      sync_mode              => "none",
89
      lpm_type               => "cycloneiii_ddio_out"
90
    )
91
    port map(
92
      datainlo => vcc,
93
      datainhi => gnd(0),
94
      clk      => clk,
95
      ena      => vcc,
96
      areset   => gnd(0),
97
      sreset   => gnd(0),
98
      dataout  => clkn_reg,
99
      dfflo    => open,
100
      dffhi    => open--,    
101
      --devclrn  => vcc,   
102
      --devpor   => vcc  
103
    );
104
 
105
  out_buf0 : cycloneiii_io_obuf
106
    generic map(
107
      open_drain_output                => "false",
108
      bus_hold                         => "false",
109
      lpm_type                         => "cycloneiii_io_obuf"
110
    )
111
    port map(
112
      i                          => clk_reg,
113
      oe                         => vcc,
114
      --devoe                      => vcc,
115
      o                          => ddr_clk,
116
      obar                       => open
117
      --seriesterminationcontrol   => gnd, 
118
    );
119
 
120
  outn_buf0 : cycloneiii_io_obuf
121
    generic map(
122
      open_drain_output                => "false",
123
      bus_hold                         => "false",
124
      lpm_type                         => "cycloneiii_io_obuf"
125
    )
126
    port map(
127
      i                          => clkn_reg,
128
      oe                         => vcc,
129
      --devoe                      => vcc,
130
      o                          => ddr_clkn,
131
      obar                       => open
132
      --seriesterminationcontrol   => gnd, 
133
    );
134
 
135
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.