OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [cycloneiii/] [alt/] [adqin.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
library grlib;
4
use grlib.stdlib.all;
5
library techmap;
6
use techmap.gencomp.all;
7
library cycloneiii;
8
use cycloneiii.all;
9
library altera_mf;
10
use altera_mf.all;
11
 
12
entity adqin is
13
  port(
14
    clk           : in  std_logic;
15
    dq_pad        : in  std_logic; -- DQ pad
16
    dq_h          : out std_logic;
17
    dq_l          : out std_logic;
18
    config_clk    : in  std_logic;
19
    config_clken  : in  std_logic;
20
    config_datain : in  std_logic;
21
    config_update : in  std_logic
22
  );
23
end;
24
architecture rtl of adqin is
25
  component cycloneiii_io_ibuf is
26
    generic (
27
      differential_mode       :  string := "false";
28
      bus_hold                :  string := "false";
29
      lpm_type                :  string := "cycloneiii_io_ibuf"
30
    );
31
    port (
32
      i                       : in std_logic := '0';
33
      ibar                    : in std_logic := '0';
34
      o                       : out std_logic
35
    );
36
  end component;
37
 
38
        component altddio_in
39
        generic (
40
                intended_device_family          : string;
41
                invert_input_clocks             : string;
42
                lpm_type                : string;
43
                power_up_high           : string;
44
                width           : natural
45
        );
46
        port (
47
                        datain  : in std_logic_vector (0 downto 0);
48
                        inclock : in std_logic ;
49
                        dataout_h       : out std_logic_vector (0 downto 0);
50
                        dataout_l       : out std_logic_vector (0 downto 0)
51
        );
52
        end component;
53
 
54
signal vcc      : std_logic;
55
signal gnd      : std_logic_vector(13 downto 0);
56
signal inputdelay : std_logic_vector(3 downto 0);
57
signal dq_buf, dq_h_tmp, dq_l_tmp  : std_logic_vector(0 downto 0);
58
begin
59
  vcc <= '1'; gnd <= (others => '0');
60
 
61
-- In buffer (DQ) --------------------------------------------------------------------
62
 
63
  dq_buf0 : cycloneiii_io_ibuf
64
    generic map(
65
      differential_mode => "false",
66
      bus_hold          => "false",
67
      lpm_type          => "cycloneiii_io_ibuf"
68
    )
69
    port map(
70
      i     => dq_pad,
71
      ibar  => open,
72
      o     => dq_buf(0)
73
    );
74
 
75
-- Input capture register (DQ) -------------------------------------------------------
76
 
77
        altddio_in_component : altddio_in
78
        generic map (
79
                intended_device_family => "Cyclone III",
80
                invert_input_clocks => "off",
81
                lpm_type => "altddio_in",
82
                power_up_high => "off",
83
                width => 1
84
        )
85
        port map (
86
                datain => dq_buf,
87
                inclock => clk,
88
                dataout_h => dq_h_tmp,
89
                dataout_l => dq_l_tmp
90
        );
91
 
92
  dq_h <= dq_h_tmp(0); dq_l <= dq_l_tmp(0);
93
 
94
--  dq_reg0 : cycloneiii_ddio_in                                                                                 
95
--    generic map(                                                                                                  
96
--      power_up   => "low",                                            
97
--      async_mode => "clear",                                           
98
--      sync_mode  => "none",                                           
99
--      use_clkn   => "false",                                          
100
--      lpm_type   => "cycloneiii_ddio_in"                                   
101
--    )                                                                                                 
102
--    port map(                                                                                                    
103
--      datain    => dq_dq_buf,
104
--      clk       => clk,
105
--      clkn      => open,
106
--      ena       => vcc,
107
--      areset    => gnd(0),
108
--      sreset    => gnd(0),
109
--      regoutlo  => dq_l,
110
--      regouthi  => dq_h
111
--      --dfflo                   : out std_logic;                                                           
112
--      --devclrn                 : in std_logic := '1';                                                     
113
--      --devpor                  : in std_logic := '1'                                                      
114
--    );                                                                                                    
115
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.