OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [cycloneiii/] [alt/] [adqsin.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
library grlib;
4
use grlib.stdlib.all;
5
library techmap;
6
use techmap.gencomp.all;
7
library stratixiii;
8
use stratixiii.all;
9
 
10
entity adqsin is
11
  port(
12
    dqs_pad   : in  std_logic; -- DQS pad
13
    dqsn_pad  : in  std_logic; -- DQSN pad
14
    dqs       : out std_logic
15
  );
16
end;
17
architecture rtl of adqsin is
18
  component stratixiii_io_ibuf IS
19
    generic (
20
      differential_mode       :  string := "false";
21
      bus_hold                :  string := "false";
22
      simulate_z_as           :  string    := "z";
23
      lpm_type                :  string := "stratixiii_io_ibuf"
24
    );
25
    port (
26
      i                       : in std_logic := '0';
27
      ibar                    : in std_logic := '0';
28
      o                       : out std_logic
29
    );
30
  end component;
31
 
32
signal vcc      : std_logic;
33
signal gnd      : std_logic_vector(13 downto 0);
34
signal dqs_buf  : std_logic;
35
begin
36
  vcc <= '1'; gnd <= (others => '0');
37
 
38
-- In buffer (DQS, DQSN) ------------------------------------------------------------
39
 
40
  dqs_buf0 : stratixiii_io_ibuf
41
    generic map(
42
      differential_mode => "true",
43
      bus_hold          => "false",
44
      simulate_z_as     => "z",
45
      lpm_type          => "stratixiii_io_ibuf"
46
    )
47
    port map(
48
      i     => dqs_pad,
49
      ibar  => dqsn_pad,
50
      o     => dqs_buf
51
    );
52
 
53
  dqs <= dqs_buf;
54
 
55
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.