OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [dw02/] [mul_dw_gen.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      dw_mul_61x61
20
-- File:        mul_dw_gen.vhd
21
-- Author:      Edvin Catovic - Gaisler Research
22
-- Description: DW 61x61 multiplier 
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
library DW02;
28
use DW02.DW02_components.all;
29
 
30
 
31
entity dw_mul_61x61 is
32
    port(A       : in std_logic_vector(60 downto 0);
33
         B       : in std_logic_vector(60 downto 0);
34
         CLK     : in std_logic;
35
         PRODUCT : out std_logic_vector(121 downto 0));
36
end;
37
 
38
architecture rtl of dw_mul_61x61 is
39
 
40
  signal gnd       : std_ulogic;
41
  signal pin, p  : std_logic_vector(121 downto 0);
42
 
43
begin
44
  gnd <= '0';
45
  u0 : DW02_mult_2_stage
46
    generic map ( A_width => A'length,   B_width => B'length  )
47
    port map ( A => A,   B => B,   TC => gnd,  CLK => CLK,   PRODUCT => pin );
48
 
49
  reg0 : process(CLK)
50
  begin
51
    if rising_edge(CLK) then
52
      p <= pin;
53
    end if;
54
  end process;
55
 
56
  PRODUCT <= p;
57
 
58
end;
59
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.