OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [ec/] [ddr_ec.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      ddr_ec
20
-- File:        ddr_ec.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: Lattice DDR regs
23
------------------------------------------------------------------------------
24
library ieee;
25
use ieee.std_logic_1164.all;
26
 
27
-- pragma translate_off
28
library ec;
29
use ec.ODDRXB;
30
--pragma translate_on
31
 
32
 
33
entity ec_oddr_reg is
34
  port
35
    ( Q : out std_ulogic;
36
      C1 : in std_ulogic;
37
      C2 : in std_ulogic;
38
      CE : in std_ulogic;
39
      D1 : in std_ulogic;
40
      D2 : in std_ulogic;
41
      R : in std_ulogic;
42
      S : in std_ulogic);
43
end;
44
 
45
architecture rtl of ec_oddr_reg is
46
 
47
  component ODDRXB
48
    port(
49
          DA            :       in      STD_LOGIC;
50
          DB            :       in      STD_LOGIC;
51
          CLK           :       in      STD_LOGIC;
52
          LSR           :       in      STD_LOGIC;
53
          Q             :       out     STD_LOGIC
54
        );
55
  end component;
56
 
57
begin
58
 
59
  U0 : ODDRXB port map( DA => D1, DB => D2, CLK => C1, LSR => R, Q => Q);
60
 
61
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.