OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [maps/] [alltap.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------   
19
-- Entity:      tap_gen
20
-- File:        tap_gen.vhd
21
-- Author:      Edvin Catovic - Gaisler Research
22
-- Description: JTAG Test Access Port (TAP) Controller component declaration
23
------------------------------------------------------------------------------
24
 
25
 
26
library ieee;
27
use ieee.std_logic_1164.all;
28
 
29
package alltap is
30
 
31
component tap_gen
32
  generic (
33
    irlen  : integer range 2 to 8 := 2;
34
    idcode : integer range 0 to 255 := 9;
35
    manf   : integer range 0 to 2047 := 804;
36
    part   : integer range 0 to 65535 := 0;
37
    ver    : integer range 0 to 15 := 0;
38
    trsten : integer range 0 to 1 := 1;
39
    scantest : integer := 0);
40
  port (
41
    trst        : in std_ulogic;
42
    tckp        : in std_ulogic;
43
    tckn        : in std_ulogic;
44
    tms         : in std_ulogic;
45
    tdi         : in std_ulogic;
46
    tdo         : out std_ulogic;
47
    tapi_en1    : in std_ulogic;
48
    tapi_tdo1   : in std_ulogic;
49
    tapi_tdo2   : in std_ulogic;
50
    tapo_tck    : out std_ulogic;
51
    tapo_tdi    : out std_ulogic;
52
    tapo_inst   : out std_logic_vector(7 downto 0);
53
    tapo_rst    : out std_ulogic;
54
    tapo_capt   : out std_ulogic;
55
    tapo_shft   : out std_ulogic;
56
    tapo_upd    : out std_ulogic;
57
    tapo_xsel1  : out std_ulogic;
58
    tapo_xsel2  : out std_ulogic;
59
    testen      : in std_ulogic := '0';
60
    testrst     : in std_ulogic := '1';
61
    tdoen       : out std_ulogic
62
    );
63
end component;
64
 
65
component virtex_tap
66
port (
67
     tapi_tdo1   : in std_ulogic;
68
     tapi_tdo2   : in std_ulogic;
69
     tapo_tck    : out std_ulogic;
70
     tapo_tdi    : out std_ulogic;
71
     tapo_rst    : out std_ulogic;
72
     tapo_capt   : out std_ulogic;
73
     tapo_shft   : out std_ulogic;
74
     tapo_upd    : out std_ulogic;
75
     tapo_xsel1  : out std_ulogic;
76
     tapo_xsel2  : out std_ulogic
77
    );
78
end component;
79
 
80
component virtex2_tap
81
port (
82
     tapi_tdo1   : in std_ulogic;
83
     tapi_tdo2   : in std_ulogic;
84
     tapo_tck    : out std_ulogic;
85
     tapo_tdi    : out std_ulogic;
86
     tapo_rst    : out std_ulogic;
87
     tapo_capt   : out std_ulogic;
88
     tapo_shft   : out std_ulogic;
89
     tapo_upd    : out std_ulogic;
90
     tapo_xsel1  : out std_ulogic;
91
     tapo_xsel2  : out std_ulogic
92
    );
93
end component;
94
 
95
component virtex4_tap
96
port (
97
     tapi_tdo1   : in std_ulogic;
98
     tapi_tdo2   : in std_ulogic;
99
     tapo_tck    : out std_ulogic;
100
     tapo_tdi    : out std_ulogic;
101
     tapo_rst    : out std_ulogic;
102
     tapo_capt   : out std_ulogic;
103
     tapo_shft   : out std_ulogic;
104
     tapo_upd    : out std_ulogic;
105
     tapo_xsel1  : out std_ulogic;
106
     tapo_xsel2  : out std_ulogic
107
    );
108
end component;
109
 
110
component virtex5_tap
111
port (
112
     tapi_tdo1   : in std_ulogic;
113
     tapi_tdo2   : in std_ulogic;
114
     tapo_tck    : out std_ulogic;
115
     tapo_tdi    : out std_ulogic;
116
     tapo_rst    : out std_ulogic;
117
     tapo_capt   : out std_ulogic;
118
     tapo_shft   : out std_ulogic;
119
     tapo_upd    : out std_ulogic;
120
     tapo_xsel1  : out std_ulogic;
121
     tapo_xsel2  : out std_ulogic
122
    );
123
end component;
124
 
125
component spartan3_tap
126
port (
127
     tapi_tdo1   : in std_ulogic;
128
     tapi_tdo2   : in std_ulogic;
129
     tapo_tck    : out std_ulogic;
130
     tapo_tdi    : out std_ulogic;
131
     tapo_rst    : out std_ulogic;
132
     tapo_capt   : out std_ulogic;
133
     tapo_shft   : out std_ulogic;
134
     tapo_upd    : out std_ulogic;
135
     tapo_xsel1  : out std_ulogic;
136
     tapo_xsel2  : out std_ulogic
137
    );
138
end component;
139
 
140
component altera_tap
141
port (
142
     tapi_tdo1   : in std_ulogic;
143
     tapi_tdo2   : in std_ulogic;
144
     tapo_tck    : out std_ulogic;
145
     tapo_tdi    : out std_ulogic;
146
     tapo_inst   : out std_logic_vector(7 downto 0);
147
     tapo_rst    : out std_ulogic;
148
     tapo_capt   : out std_ulogic;
149
     tapo_shft   : out std_ulogic;
150
     tapo_upd    : out std_ulogic;
151
     tapo_xsel1  : out std_ulogic;
152
     tapo_xsel2  : out std_ulogic
153
    );
154
end component;
155
 
156
component proasic3_tap
157
port (
158
     tck         : in std_ulogic;
159
     tms         : in std_ulogic;
160
     tdi         : in std_ulogic;
161
     trst        : in std_ulogic;
162
     tdo         : out std_ulogic;
163
     tapi_tdo1   : in std_ulogic;
164
     tapi_tdo2   : in std_ulogic;
165
     tapi_en1    : in std_ulogic;
166
     tapo_tck    : out std_ulogic;
167
     tapo_tdi    : out std_ulogic;
168
     tapo_rst    : out std_ulogic;
169
     tapo_capt   : out std_ulogic;
170
     tapo_shft   : out std_ulogic;
171
     tapo_upd    : out std_ulogic;
172
     tapo_inst   : out std_logic_vector(7 downto 0)
173
    );
174
end component;
175
 
176
 
177
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.