OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [maps/] [ddr_oreg.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      ddr_oreg
20
-- File:        ddr_oreg.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: DDR output reg with tech selection
23
------------------------------------------------------------------------------
24
library ieee;
25
use ieee.std_logic_1164.all;
26
library techmap;
27
use techmap.gencomp.all;
28
use techmap.allddr.all;
29
 
30
entity ddr_oreg is generic ( tech : integer);
31
  port
32
    ( Q : out std_ulogic;
33
      C1 : in std_ulogic;
34
      C2 : in std_ulogic;
35
      CE : in std_ulogic;
36
      D1 : in std_ulogic;
37
      D2 : in std_ulogic;
38
      R : in std_ulogic;
39
      S : in std_ulogic);
40
end;
41
 
42
architecture rtl of ddr_oreg is
43
begin
44
 
45
  inf : if not (tech = lattice or tech = virtex4 or tech = virtex2 or tech = spartan3
46
        or (tech = virtex5)) generate
47
    inf0 : gen_oddr_reg port map (Q, C1, C2, CE, D1, D2, R, S);
48
  end generate;
49
 
50
  lat : if tech = lattice generate
51
    lat0 : ec_oddr_reg port map (Q, C1, C2, CE, D1, D2, R, S);
52
  end generate;
53
 
54
  xil : if tech = virtex4 or tech = virtex2 or tech = spartan3
55
        or (tech = virtex5) generate
56
    xil0 : unisim_oddr_reg generic map (tech)
57
        port map (Q, C1, C2, CE, D1, D2, R, S);
58
  end generate;
59
 
60
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.