OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [maps/] [inpad_ds.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      inpad_ds
20
-- File:        inpad_ds.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: input pad with technology wrapper
23
------------------------------------------------------------------------------
24
 
25
library techmap;
26
library ieee;
27
use ieee.std_logic_1164.all;
28
use techmap.gencomp.all;
29
use techmap.allpads.all;
30
 
31
entity inpad_ds is
32
  generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v);
33
  port (padp, padn : in std_ulogic; o : out std_ulogic);
34
end;
35
 
36
architecture rtl of inpad_ds is
37
signal gnd : std_ulogic;
38
begin
39
  gnd <= '0';
40
  gen0 : if has_ds_pads(tech) = 0 generate
41
    o <= to_X01(padp) after 1 ns;
42
  end generate;
43
  xcv : if (tech = virtex) or (tech = virtex2) or (tech = spartan3) generate
44
    u0 : virtex_inpad_ds generic map (level, voltage) port map (padp, padn, o);
45
  end generate;
46
  xc4v : if (tech = virtex4) or (tech = spartan3e) or (tech = virtex5) generate
47
    u0 : virtex4_inpad_ds generic map (level, voltage) port map (padp, padn, o);
48
  end generate;
49
  axc : if (tech = axcel) generate
50
    u0 : axcel_inpad_ds generic map (level, voltage) port map (padp, padn, o);
51
  end generate;
52
  rht : if (tech = rhlib18t) generate
53
    u0 : rh_lib18t_inpad_ds port map (padp, padn, o, gnd);
54
  end generate;
55
end;
56
 
57
library techmap;
58
library ieee;
59
use ieee.std_logic_1164.all;
60
use techmap.gencomp.all;
61
 
62
entity inpad_dsv is
63
  generic (tech : integer := 0; level : integer := lvds;
64
           voltage : integer := x33v; width : integer := 1);
65
  port (
66
    padp : in  std_logic_vector(width-1 downto 0);
67
    padn : in  std_logic_vector(width-1 downto 0);
68
    o   : out std_logic_vector(width-1 downto 0));
69
end;
70
architecture rtl of inpad_dsv is
71
begin
72
  v : for i in width-1 downto 0 generate
73
    u0 : inpad_ds generic map (tech, level, voltage) port map (padp(i), padn(i), o(i));
74
  end generate;
75
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.