OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [maps/] [outpad.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      outpad
20
-- File:        outpad.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: output pad with technology wrapper
23
------------------------------------------------------------------------------
24
 
25
library techmap;
26
library ieee;
27
use ieee.std_logic_1164.all;
28
use techmap.gencomp.all;
29
use techmap.allpads.all;
30
 
31
entity outpad is
32
  generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
33
           voltage : integer := x33v; strength : integer := 12);
34
  port (pad : out std_ulogic; i : in std_ulogic);
35
end;
36
 
37
architecture rtl of outpad is
38
signal padx, gnd, vcc : std_ulogic;
39
begin
40
  gnd <= '0'; vcc <= '1';
41
  gen0 : if has_pads(tech) = 0 generate
42
    pad <= i after 2 ns when slew = 0 else i;
43
  end generate;
44
  xcv : if (tech = virtex) or (tech = virtex2) or (tech = spartan3) or
45
        (tech = virtex4) or (tech = spartan3e) or (tech = virtex5)
46
  generate
47
    x0 : virtex_outpad generic map (level, slew, voltage, strength) port map (pad, i);
48
  end generate;
49
  axc : if (tech = axcel) or (tech = proasic) or (tech = apa3) generate
50
    x0 : axcel_outpad generic map (level, slew, voltage, strength) port map (pad, i);
51
  end generate;
52
  atc : if (tech = atc18s) generate
53
    x0 : atc18_outpad generic map (level, slew, voltage, strength) port map (pad, i);
54
  end generate;
55
  atcrh : if (tech = atc18rha) generate
56
    x0 : atc18rha_outpad generic map (level, slew, voltage, strength) port map (pad, i);
57
  end generate;
58
  um : if (tech = umc) generate
59
    x0 : umc_outpad generic map (level, slew, voltage, strength) port map (pad, i);
60
  end generate;
61
  rhu : if (tech = rhumc) generate
62
    x0 : rhumc_outpad generic map (level, slew, voltage, strength) port map (pad, i);
63
  end generate;
64
  ihp : if (tech = ihp25) generate
65
    x0 : ihp25_outpad generic map (level, slew, voltage, strength) port map (pad, i);
66
  end generate;
67
  ihprh : if (tech = ihp25rh) generate
68
    x0 : ihp25rh_outpad generic map (level, slew, voltage, strength) port map (pad, i);
69
  end generate;
70
  rh18t : if (tech = rhlib18t) generate
71
    x0 : rh_lib18t_iopad generic map (strength) port map (padx, i, gnd, open);
72
    pad <= padx;
73
  end generate;
74
  ut025 : if (tech = ut25) generate
75
    x0 : ut025crh_outpad generic map (level, slew, voltage, strength) port map (pad, i);
76
  end generate;
77
  pere  : if (tech = peregrine) generate
78
    x0 : peregrine_toutpad generic map (level, slew, voltage, strength)
79
         port map(pad, i, vcc);
80
  end generate;
81
  nex  : if (tech = easic90) generate
82
    x0 : nextreme_toutpad generic map (level, slew, voltage, strength)
83
         port map(pad, i, vcc);
84
  end generate;
85
end;
86
 
87
library techmap;
88
library ieee;
89
use ieee.std_logic_1164.all;
90
use techmap.gencomp.all;
91
 
92
entity outpadv is
93
  generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
94
        voltage : integer := 0; strength : integer := 12; width : integer := 1);
95
  port (
96
    pad : out std_logic_vector(width-1 downto 0);
97
    i   : in  std_logic_vector(width-1 downto 0));
98
end;
99
architecture rtl of outpadv is
100
begin
101
  v : for j in width-1 downto 0 generate
102
    x0 : outpad generic map (tech, level, slew, voltage, strength)
103
         port map (pad(j), i(j));
104
  end generate;
105
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.