OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [maps/] [outpad_ds.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      outpad_ds
20
-- File:        outpad_ds.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: Differential output pad with technology wrapper
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
library techmap;
28
use techmap.gencomp.all;
29
use techmap.allpads.all;
30
 
31
entity outpad_ds is
32
  generic (tech : integer := 0; level : integer := lvds;
33
        voltage : integer := x33v; oepol : integer := 0);
34
  port (padp, padn : out std_ulogic; i, en : in std_ulogic);
35
end;
36
 
37
architecture rtl of outpad_ds is
38
signal gnd, oen : std_ulogic;
39
begin
40
  gnd <= '0';
41
  oen <= not en when oepol /= padoen_polarity(tech) else en;
42
  gen0 : if has_ds_pads(tech) = 0 generate
43
    padp <= i after 1 ns;
44
    padn <= not i after 1 ns;
45
  end generate;
46
  xcv : if (tech = virtex) or (tech = virtex2) or (tech = spartan3) or
47
        (tech = virtex4) or (tech = spartan3e)
48
  generate
49
    u0 : virtex_outpad_ds generic map (level, voltage) port map (padp, padn, i);
50
  end generate;
51
  xcv5 : if (tech = virtex5) generate
52
    u0 : virtex5_outpad_ds generic map (level, voltage) port map (padp, padn, i);
53
  end generate;
54
  axc : if (tech = axcel) generate
55
    u0 : axcel_outpad_ds generic map (level, voltage) port map (padp, padn, i);
56
  end generate;
57
  rht : if (tech = rhlib18t) generate
58
    u0 : rh_lib18t_outpad_ds port map (padp, padn, i, oen);
59
  end generate;
60
end;
61
 
62
library ieee;
63
use ieee.std_logic_1164.all;
64
library techmap;
65
use techmap.gencomp.all;
66
 
67
entity outpad_dsv is
68
  generic (tech : integer := 0; level : integer := x33v;
69
        voltage : integer := lvds; width : integer := 1;
70
        oepol : integer := 0);
71
  port (
72
    padp : out std_logic_vector(width-1 downto 0);
73
    padn : out std_logic_vector(width-1 downto 0);
74
    i, en: in  std_logic_vector(width-1 downto 0));
75
end;
76
architecture rtl of outpad_dsv is
77
begin
78
  v : for j in width-1 downto 0 generate
79
    u0 : outpad_ds generic map (tech, level, voltage, oepol)
80
         port map (padp(j), padn(j), i(j), en(j));
81
  end generate;
82
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.