OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [maps/] [toutpad.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      toutpad
20
-- File:        toutpad.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: tri-state output pad with technology wrapper
23
------------------------------------------------------------------------------
24
 
25
library techmap;
26
library ieee;
27
use ieee.std_logic_1164.all;
28
use techmap.gencomp.all;
29
use techmap.allpads.all;
30
 
31
entity toutpad is
32
  generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
33
           voltage : integer := x33v; strength : integer := 12;
34
           oepol : integer := 0);
35
  port (pad : out std_ulogic; i, en : in std_ulogic);
36
end;
37
 
38
architecture rtl of toutpad is
39
signal oen : std_ulogic;
40
signal padx, gnd : std_ulogic;
41
begin
42
  gnd <= '0';
43
  oen <= not en when oepol /= padoen_polarity(tech) else en;
44
  gen0 : if has_pads(tech) = 0 generate
45
    pad <= i after 2 ns when oen = '0'
46
-- pragma translate_off
47
           else 'X' after 2 ns when is_x(en)
48
-- pragma translate_on
49
           else 'Z' after 2 ns;
50
  end generate;
51
  xcv : if (tech = virtex) or (tech = virtex2) or (tech = spartan3) or
52
        (tech = virtex4) or (tech = spartan3e) or (tech = virtex5)
53
  generate
54
    u0 : virtex_toutpad generic map (level, slew, voltage, strength)
55
         port map (pad, i, oen);
56
  end generate;
57
  axc : if (tech = axcel) or (tech = proasic) or (tech = apa3) generate
58
    u0 : axcel_toutpad generic map (level, slew, voltage, strength)
59
         port map (pad, i, oen);
60
  end generate;
61
  atc : if (tech = atc18s) generate
62
    u0 : atc18_toutpad generic map (level, slew, voltage, strength)
63
         port map (pad, i, oen);
64
  end generate;
65
  atcrh : if (tech = atc18rha) generate
66
    u0 : atc18rha_toutpad generic map (level, slew, voltage, strength)
67
         port map (pad, i, oen);
68
  end generate;
69
  um : if (tech = umc) generate
70
    u0 : umc_toutpad generic map (level, slew, voltage, strength)
71
         port map (pad, i, oen);
72
  end generate;
73
  rhu : if (tech = rhumc) generate
74
    u0 : rhumc_toutpad generic map (level, slew, voltage, strength)
75
         port map (pad, i, oen);
76
  end generate;
77
  ihp : if (tech = ihp25) generate
78
    u0 : ihp25_toutpad generic map (level, slew, voltage, strength)
79
         port map(pad, i, oen);
80
  end generate;
81
  ihprh : if (tech = ihp25rh) generate
82
    u0 : ihp25rh_toutpad generic map (level, slew, voltage, strength)
83
         port map(pad, i, oen);
84
  end generate;
85
  rh18t : if (tech = rhlib18t) generate
86
    u0 : rh_lib18t_iopad generic map (strength) port map (padx, i, oen, open);
87
    pad <= padx;
88
  end generate;
89
  ut025 : if (tech = ut25) generate
90
    u0 : ut025crh_toutpad generic map (level, slew, voltage, strength)
91
         port map(pad, i, oen);
92
  end generate;
93
  pere  : if (tech = peregrine) generate
94
    u0 : peregrine_toutpad generic map (level, slew, voltage, strength)
95
         port map(pad, i, oen);
96
  end generate;
97
  nex : if (tech = easic90) generate
98
    u0 : nextreme_toutpad generic map (level, slew, voltage, strength)
99
         port map (pad, i, oen);
100
  end generate;
101
end;
102
 
103
library techmap;
104
library ieee;
105
use ieee.std_logic_1164.all;
106
use techmap.gencomp.all;
107
 
108
entity toutpadv is
109
  generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
110
        voltage : integer := x33v; strength : integer := 12; width : integer := 1;
111
        oepol : integer := 0);
112
  port (
113
    pad : out std_logic_vector(width-1 downto 0);
114
    i   : in  std_logic_vector(width-1 downto 0);
115
    en  : in  std_ulogic);
116
end;
117
architecture rtl of toutpadv is
118
begin
119
  v : for j in width-1 downto 0 generate
120
    u0 : toutpad generic map (tech, level, slew, voltage, strength, oepol)
121
         port map (pad(j), i(j), en);
122
  end generate;
123
end;
124
 
125
library techmap;
126
library ieee;
127
use ieee.std_logic_1164.all;
128
use techmap.gencomp.all;
129
 
130
entity toutpadvv is
131
  generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
132
        voltage : integer := x33v; strength : integer := 12; width : integer := 1;
133
        oepol : integer := 0);
134
  port (
135
    pad : out std_logic_vector(width-1 downto 0);
136
    i   : in  std_logic_vector(width-1 downto 0);
137
    en  : in  std_logic_vector(width-1 downto 0));
138
end;
139
architecture rtl of toutpadvv is
140
begin
141
  v : for j in width-1 downto 0 generate
142
    u0 : toutpad generic map (tech, level, slew, voltage, strength, oepol)
143
         port map (pad(j), i(j), en(j));
144
  end generate;
145
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.