OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [stratixiii/] [alt/] [adqin.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
library grlib;
4
use grlib.stdlib.all;
5
library techmap;
6
use techmap.gencomp.all;
7
library stratixiii;
8
use stratixiii.all;
9
 
10
entity adqin is
11
  port(
12
    clk           : in  std_logic;
13
    dq_pad        : in  std_logic; -- DQ pad
14
    dq_h          : out std_logic;
15
    dq_l          : out std_logic;
16
    config_clk    : in  std_logic;
17
    config_clken  : in  std_logic;
18
    config_datain : in  std_logic;
19
    config_update : in  std_logic
20
  );
21
end;
22
architecture rtl of adqin is
23
  component stratixiii_io_ibuf is
24
    generic (
25
      differential_mode       :  string := "false";
26
      bus_hold                :  string := "false";
27
      simulate_z_as           :  string    := "z";
28
      lpm_type                :  string := "stratixiii_io_ibuf"
29
    );
30
    port (
31
      i                       : in std_logic := '0';
32
      ibar                    : in std_logic := '0';
33
      o                       : out std_logic
34
    );
35
  end component;
36
 
37
  component stratixiii_ddio_in is
38
    generic(
39
      power_up                           :  string := "low";
40
      async_mode                         :  string := "none";
41
      sync_mode                          :  string := "none";
42
      use_clkn                           :  string := "false";
43
      lpm_type                           :  string := "stratixiii_ddio_in"
44
    );
45
    port (
46
      datain                  : in std_logic := '0';
47
      clk                     : in std_logic := '0';
48
      clkn                    : in std_logic := '0';
49
      ena                     : in std_logic := '1';
50
      areset                  : in std_logic := '0';
51
      sreset                  : in std_logic := '0';
52
      regoutlo                : out std_logic;
53
      regouthi                : out std_logic--;                                                           
54
      --dfflo                   : out std_logic;                                                           
55
      --devclrn                 : in std_logic := '1';                                                     
56
      --devpor                  : in std_logic := '1'                                                      
57
    );
58
  end component;
59
 
60
  component  stratixiii_delay_chain
61
  port (
62
    datain          : in std_logic := '0';
63
    dataout         : out std_logic;
64
    delayctrlin : in std_logic_vector(3 downto 0) := (others => '0')
65
  );
66
  end component;
67
 
68
  component  stratixiii_io_config
69
  port (
70
    clk :       in std_logic := '0';
71
    datain      :       in std_logic := '0';
72
    dataout     :       out std_logic;
73
    ena :       in std_logic := '0';
74
    outputdelaysetting1 :       out std_logic_vector(3 downto 0);
75
    outputdelaysetting2 :       out std_logic_vector(2 downto 0);
76
    padtoinputregisterdelaysetting      :       out std_logic_vector(3 downto 0);
77
    update      :       in std_logic := '0'
78
  );
79
  end component;
80
 
81
signal vcc      : std_logic;
82
signal gnd      : std_logic_vector(13 downto 0);
83
signal inputdelay : std_logic_vector(3 downto 0);
84
signal dq_buf, dq_dly  : std_logic;
85
begin
86
  vcc <= '1'; gnd <= (others => '0');
87
 
88
-- In buffer (DQS, DQSN) ------------------------------------------------------------
89
 
90
  dq_buf0 : stratixiii_io_ibuf
91
    generic map(
92
      differential_mode => "false",
93
      bus_hold          => "false",
94
      simulate_z_as     => "z",
95
      lpm_type          => "stratixiii_io_ibuf"
96
    )
97
    port map(
98
      i     => dq_pad,
99
      ibar  => open,
100
      o     => dq_buf
101
    );
102
 
103
-- Input delay chain (DQ) ------------------------------------------------------------
104
 
105
  dq_delay0 : stratixiii_delay_chain
106
    port map(
107
      datain      => dq_buf,
108
      dataout       => dq_dly,
109
      delayctrlin => inputdelay
110
    );
111
 
112
  dq_delay_ctrl0 : stratixiii_io_config
113
    port map(
114
      clk     => config_clk,
115
      datain  => config_datain,
116
      dataout => open,
117
      ena           => config_clken,
118
      outputdelaysetting1 => open,
119
      outputdelaysetting2 => open,
120
      padtoinputregisterdelaysetting  => inputdelay,
121
      update  => config_update
122
    );
123
 
124
-- Input capture register (DQ) -------------------------------------------------------
125
 
126
  dq_reg0 : stratixiii_ddio_in
127
    generic map(
128
      power_up   => "low",
129
      async_mode => "clear",
130
      sync_mode  => "none",
131
      use_clkn   => "false",
132
      lpm_type   => "stratixiii_ddio_in"
133
    )
134
    port map(
135
      datain    => dq_dly,--dq_buf,
136
      clk       => clk,
137
      clkn      => open,
138
      ena       => vcc,
139
      areset    => gnd(0),
140
      sreset    => gnd(0),
141
      regoutlo  => dq_l,
142
      regouthi  => dq_h
143
      --dfflo                   : out std_logic;                                                           
144
      --devclrn                 : in std_logic := '1';                                                     
145
      --devpor                  : in std_logic := '1'                                                      
146
    );
147
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.