OpenCores
URL https://opencores.org/ocsvn/mips_fault_tolerant/mips_fault_tolerant/trunk

Subversion Repositories mips_fault_tolerant

[/] [mips_fault_tolerant/] [trunk/] [source/] [mux32.vhd] - Blame information for rev 31

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 31 jimi39
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.STD_LOGIC_ARITH.ALL;
4
use IEEE.STD_LOGIC_UNSIGNED.ALL;
5
 
6
 
7
entity mux32 is
8
    Port ( A : in std_logic_vector(31 downto 0);
9
           B : in std_logic_vector(31 downto 0);
10
           SEL : in std_logic;
11
           MUX_OUT : out std_logic_vector(31 downto 0));
12
end mux32;
13
 
14
architecture Behavioral of mux32 is
15
 
16
begin
17
 
18
process (SEL, A, B)
19
begin
20
   case SEL is
21
      when '0' => MUX_OUT <= A;
22
      when '1' => MUX_OUT <= B;
23
      when others => NULL;
24
   end case;
25
end process;
26
 
27
 
28
 
29
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.