OpenCores
URL https://opencores.org/ocsvn/mipsr2000/mipsr2000/trunk

Subversion Repositories mipsr2000

[/] [mipsr2000/] [trunk/] [Addr_dec.vhd] - Blame information for rev 59

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 jimi39
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    00:56:35 06/05/2012 
6
-- Design Name: 
7
-- Module Name:    Addr_dec - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
 
23
-- Uncomment the following library declaration if using
24
-- arithmetic functions with Signed or Unsigned values
25
--use IEEE.NUMERIC_STD.ALL;
26
 
27
-- Uncomment the following library declaration if instantiating
28
-- any Xilinx primitives in this code.
29
--library UNISIM;
30
--use UNISIM.VComponents.all;
31
 
32
entity Addr_dec is
33
      port  (
34
              addr : in std_logic_vector(1 downto 0);
35
                             dec_out: out std_logic_vector(3 downto 0)
36
                            );
37
end Addr_dec;
38
 
39
architecture Behavioral of Addr_dec is
40
 
41
begin
42
 
43
     process (addr)
44
 
45
           begin
46
           case  addr (1 downto 0) is
47
            when "00" => dec_out <= "1110";
48
            when "01" => dec_out <= "1101";
49
            when "10" => dec_out <= "1011";
50
            when "11" => dec_out <= "0111";
51
            when others => dec_out <= "1111";
52
      end case;
53
         end process;
54
end Behavioral;
55
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.