OpenCores
URL https://opencores.org/ocsvn/mipsr2000/mipsr2000/trunk

Subversion Repositories mipsr2000

[/] [mipsr2000/] [trunk/] [Mux_out_block.vhd] - Blame information for rev 21

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 jimi39
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    19:01:59 06/24/2012 
6
-- Design Name: 
7
-- Module Name:    Mux_out_block - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
 
23
-- Uncomment the following library declaration if using
24
-- arithmetic functions with Signed or Unsigned values
25
--use IEEE.NUMERIC_STD.ALL;
26
 
27
-- Uncomment the following library declaration if instantiating
28
-- any Xilinx primitives in this code.
29
--library UNISIM;
30
--use UNISIM.VComponents.all;
31
 
32
entity Mux_out_block is
33
port (
34
      clk   : in std_logic;
35
                Zero_in,EqNq : in std_logic;
36
                RFmux : in std_logic_vector(2 downto 0);
37
      Hi_in : in std_logic_vector(31 downto 0);
38
      Lo_in : in std_logic_vector(31 downto 0);
39
      Alu_in: in std_logic_vector(31 downto 0);
40
      Mdr_fr_out : in std_logic_vector(31 downto 0);
41
      RF_out: out std_logic_vector(31 downto 0);
42
                From_N: in std_logic_vector(31 downto 0);
43
                From_A: in std_logic_vector(31 downto 0);
44
                From_M: in std_logic_vector(31 downto 0);
45
                PCSource: in std_logic_vector(1 downto 0);
46
                NPC_out: out std_logic_vector(31 downto 0)
47
);
48
end Mux_out_block;
49
 
50
architecture Behavioral of Mux_out_block is
51
component RF_mux is
52
port (
53
      clk   : in std_logic;
54
                RFmux : in std_logic_vector(2 downto 0);
55
      Hi_in : in std_logic_vector(31 downto 0);
56
      Lo_in : in std_logic_vector(31 downto 0);
57
      Alu_in: in std_logic_vector(31 downto 0);
58
      From_N : in std_logic_vector(31 downto 0);
59
      Mdr_fr_out : in std_logic_vector(31 downto 0);
60
      RF_out: out std_logic_vector(31 downto 0)
61
      );
62
end component;
63
component NPC_mux is
64
port (
65
      clk   : in std_logic;
66
                Zero_in,EqNq : in std_logic;
67
                From_N: in std_logic_vector(31 downto 0);
68
                From_A: in std_logic_vector(31 downto 0);
69
                From_M: in std_logic_vector(31 downto 0);
70
                PCSource: in std_logic_vector(1 downto 0);
71
                NPC_out: out std_logic_vector(31 downto 0)
72
        );
73
end component;
74
begin
75
Rf_m_o:RF_mux port map(clk=>clk,RFmux=>RFmux,Hi_in=>Hi_in,
76
                       Lo_in=>Lo_in,Alu_in=>Alu_in,From_N=>From_N,Mdr_fr_out=>Mdr_fr_out,RF_out=>RF_out);
77
NP_m_o:NPC_mux port map(clk=>clk,Zero_in=>Zero_in,EqNq=>EqNq,From_N=>From_N,From_A=>From_A,From_M=>From_M,
78
                       PCSource=>PCSource,NPC_out=>NPC_out);
79
end Behavioral;
80
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.