OpenCores
URL https://opencores.org/ocsvn/mipsr2000/mipsr2000/trunk

Subversion Repositories mipsr2000

[/] [mipsr2000/] [trunk/] [Or_tree.vhd] - Blame information for rev 60

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 jimi39
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    01:18:47 05/21/2012 
6
-- Design Name: 
7
-- Module Name:    Or_tree - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
use IEEE.numeric_std.all;
23
-- Uncomment the following library declaration if using
24
-- arithmetic functions with Signed or Unsigned values
25
--use IEEE.NUMERIC_STD.ALL;
26
 
27
-- Uncomment the following library declaration if instantiating
28
-- any Xilinx primitives in this code.
29
--library UNISIM;
30
--use UNISIM.VComponents.all;
31
 
32
entity Or_tree is
33
Generic (
34
         busw : integer := 31
35
);
36
Port ( Mux_out : in  STD_LOGIC_VECTOR (busw downto 0);
37
       Zero : out  STD_LOGIC
38
          );
39
end Or_tree;
40
 
41
architecture Behavioral of Or_tree is
42
 
43
begin
44
 
45
          Zero <= '1' WHEN ( Mux_out (31 DOWNTO 0) = x"00000000") ELSE '0';
46
 
47
end Behavioral;
48
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.