OpenCores
URL https://opencores.org/ocsvn/mipsr2000/mipsr2000/trunk

Subversion Repositories mipsr2000

[/] [mipsr2000/] [trunk/] [SLT.vhd] - Blame information for rev 27

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 jimi39
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    01:38:44 04/26/2012 
6
-- Design Name: 
7
-- Module Name:    SLT - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
 
23
-- Uncomment the following library declaration if using
24
-- arithmetic functions with Signed or Unsigned values
25
--use IEEE.NUMERIC_STD.ALL;
26
 
27
-- Uncomment the following library declaration if instantiating
28
-- any Xilinx primitives in this code.
29
--library UNISIM;
30
--use UNISIM.VComponents.all;
31
 
32
entity SLT is
33
Generic (
34
         busw : integer := 31
35
);
36
    Port ( Adder_out : in  STD_LOGIC_VECTOR (0 downto 0);
37
           Slt_out : out  STD_LOGIC_VECTOR (busw downto 0));
38
end SLT;
39
 
40
architecture Behavioral of SLT is
41
begin
42
sin:process(Adder_out)
43
    begin
44
     if Adder_out(0) = '1' then
45
        Slt_out <= "00000000000000000000000000000001";
46
                  else
47
                  Slt_out <= "00000000000000000000000000000000";
48
     end if;
49
         end process sin;
50
end Behavioral;
51
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.