OpenCores
URL https://opencores.org/ocsvn/mipsr2000/mipsr2000/trunk

Subversion Repositories mipsr2000

[/] [mipsr2000/] [trunk/] [Shift_mux.vhd] - Blame information for rev 28

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 28 jimi39
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer:       Lazaridis Dimitris
4
-- 
5
-- Create Date:    22:19:25 04/25/2012 
6
-- Design Name: 
7
-- Module Name:    Shift_mux - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
 
23
-- Uncomment the following library declaration if using
24
-- arithmetic functions with Signed or Unsigned values
25
--use IEEE.NUMERIC_STD.ALL;
26
 
27
-- Uncomment the following library declaration if instantiating
28
-- any Xilinx primitives in this code.
29
--library UNISIM;
30
--use UNISIM.VComponents.all;
31
 
32
entity Shift_mux is
33
 
34
Port
35
(
36
                A : in  STD_LOGIC_VECTOR (4 downto 0);
37
           Shamt : in  STD_LOGIC_VECTOR (4 downto 0);
38
           sv : in  STD_LOGIC;
39
                          lui : in  STD_LOGIC;
40
           Shamt_out : out  STD_LOGIC_VECTOR (4 downto 0)
41
);
42
end Shift_mux;
43
 
44
architecture Behavioral of Shift_mux is
45
begin
46
 
47
 
48
         Shamt_out <= "10000" when lui = '1' else
49
                                       Shamt when sv = '0'  else
50
                                           A when sv = '1'  else
51
                                                          "00000";
52
 
53
end Behavioral;
54
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.