OpenCores
URL https://opencores.org/ocsvn/mjpeg-decoder/mjpeg-decoder/trunk

Subversion Repositories mjpeg-decoder

[/] [mjpeg-decoder/] [trunk/] [mjpeg/] [pcores/] [myipif/] [hdl/] [vhdl/] [jpeg_checkff_fifo.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 smanz
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2006 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file jpeg_checkff_fifo.vhd when simulating
30
-- the core, jpeg_checkff_fifo. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synopsys directives "translate_off/translate_on" specified
35
-- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synopsys translate_off
41
Library XilinxCoreLib;
42
-- synopsys translate_on
43
ENTITY jpeg_checkff_fifo IS
44
        port (
45
        din: IN std_logic_VECTOR(11 downto 0);
46
        rd_clk: IN std_logic;
47
        rd_en: IN std_logic;
48
        rst: IN std_logic;
49
        wr_clk: IN std_logic;
50
        wr_en: IN std_logic;
51
        almost_empty: OUT std_logic;
52
        almost_full: OUT std_logic;
53
        dout: OUT std_logic_VECTOR(11 downto 0);
54
        empty: OUT std_logic;
55
        full: OUT std_logic;
56
        valid: OUT std_logic);
57
END jpeg_checkff_fifo;
58
 
59
ARCHITECTURE jpeg_checkff_fifo_a OF jpeg_checkff_fifo IS
60
-- synopsys translate_off
61
component wrapped_jpeg_checkff_fifo
62
        port (
63
        din: IN std_logic_VECTOR(11 downto 0);
64
        rd_clk: IN std_logic;
65
        rd_en: IN std_logic;
66
        rst: IN std_logic;
67
        wr_clk: IN std_logic;
68
        wr_en: IN std_logic;
69
        almost_empty: OUT std_logic;
70
        almost_full: OUT std_logic;
71
        dout: OUT std_logic_VECTOR(11 downto 0);
72
        empty: OUT std_logic;
73
        full: OUT std_logic;
74
        valid: OUT std_logic);
75
end component;
76
 
77
-- Configuration specification 
78
        for all : wrapped_jpeg_checkff_fifo use entity XilinxCoreLib.fifo_generator_v2_3(behavioral)
79
                generic map(
80
                        c_wr_response_latency => 1,
81
                        c_has_rd_data_count => 0,
82
                        c_din_width => 12,
83
                        c_has_wr_data_count => 0,
84
                        c_implementation_type => 2,
85
                        c_family => "virtex2p",
86
                        c_has_wr_rst => 0,
87
                        c_underflow_low => 0,
88
                        c_has_meminit_file => 0,
89
                        c_has_overflow => 0,
90
                        c_preload_latency => 0,
91
                        c_dout_width => 12,
92
                        c_rd_depth => 2048,
93
                        c_default_value => "BlankString",
94
                        c_mif_file_name => "BlankString",
95
                        c_has_underflow => 0,
96
                        c_has_rd_rst => 0,
97
                        c_has_almost_full => 1,
98
                        c_has_rst => 1,
99
                        c_data_count_width => 2,
100
                        c_has_wr_ack => 0,
101
                        c_wr_ack_low => 0,
102
                        c_common_clock => 0,
103
                        c_rd_pntr_width => 11,
104
                        c_has_almost_empty => 1,
105
                        c_rd_data_count_width => 2,
106
                        c_enable_rlocs => 0,
107
                        c_wr_pntr_width => 11,
108
                        c_overflow_low => 0,
109
                        c_prog_empty_type => 0,
110
                        c_optimization_mode => 0,
111
                        c_wr_data_count_width => 2,
112
                        c_preload_regs => 1,
113
                        c_dout_rst_val => "0",
114
                        c_has_data_count => 0,
115
                        c_prog_full_thresh_negate_val => 2046,
116
                        c_wr_depth => 2048,
117
                        c_prog_empty_thresh_negate_val => 2046,
118
                        c_prog_empty_thresh_assert_val => 2046,
119
                        c_has_valid => 1,
120
                        c_init_wr_pntr_val => 0,
121
                        c_prog_full_thresh_assert_val => 2046,
122
                        c_use_fifo16_flags => 0,
123
                        c_has_backup => 0,
124
                        c_valid_low => 0,
125
                        c_prim_fifo_type => 1024,
126
                        c_count_type => 0,
127
                        c_prog_full_type => 0,
128
                        c_memory_type => 1);
129
-- synopsys translate_on
130
BEGIN
131
-- synopsys translate_off
132
U0 : wrapped_jpeg_checkff_fifo
133
                port map (
134
                        din => din,
135
                        rd_clk => rd_clk,
136
                        rd_en => rd_en,
137
                        rst => rst,
138
                        wr_clk => wr_clk,
139
                        wr_en => wr_en,
140
                        almost_empty => almost_empty,
141
                        almost_full => almost_full,
142
                        dout => dout,
143
                        empty => empty,
144
                        full => full,
145
                        valid => valid);
146
-- synopsys translate_on
147
 
148
END jpeg_checkff_fifo_a;
149
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.