OpenCores
URL https://opencores.org/ocsvn/mjpeg-decoder/mjpeg-decoder/trunk

Subversion Repositories mjpeg-decoder

[/] [mjpeg-decoder/] [trunk/] [mjpeg/] [pcores/] [myipif/] [hdl/] [vhdl/] [jpeg_ht_nr_of_symbols.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 smanz
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2006 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file jpeg_ht_nr_of_symbols.vhd when simulating
30
-- the core, jpeg_ht_nr_of_symbols. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synopsys directives "translate_off/translate_on" specified
35
-- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synopsys translate_off
41
Library XilinxCoreLib;
42
-- synopsys translate_on
43
ENTITY jpeg_ht_nr_of_symbols IS
44
        port (
45
        A: IN std_logic_VECTOR(7 downto 0);
46
        CLK: IN std_logic;
47
        D: IN std_logic_VECTOR(7 downto 0);
48
        WE: IN std_logic;
49
        DPRA: IN std_logic_VECTOR(7 downto 0);
50
        DPO: OUT std_logic_VECTOR(7 downto 0);
51
        SPO: OUT std_logic_VECTOR(7 downto 0));
52
END jpeg_ht_nr_of_symbols;
53
 
54
ARCHITECTURE jpeg_ht_nr_of_symbols_a OF jpeg_ht_nr_of_symbols IS
55
-- synopsys translate_off
56
component wrapped_jpeg_ht_nr_of_symbols
57
        port (
58
        A: IN std_logic_VECTOR(7 downto 0);
59
        CLK: IN std_logic;
60
        D: IN std_logic_VECTOR(7 downto 0);
61
        WE: IN std_logic;
62
        DPRA: IN std_logic_VECTOR(7 downto 0);
63
        DPO: OUT std_logic_VECTOR(7 downto 0);
64
        SPO: OUT std_logic_VECTOR(7 downto 0));
65
end component;
66
 
67
-- Configuration specification 
68
        for all : wrapped_jpeg_ht_nr_of_symbols use entity XilinxCoreLib.C_DIST_MEM_V7_1(behavioral)
69
                generic map(
70
                        c_qualify_we => 0,
71
                        c_mem_type => 2,
72
                        c_has_qdpo_rst => 0,
73
                        c_has_qspo => 0,
74
                        c_has_qspo_rst => 0,
75
                        c_has_dpo => 1,
76
                        c_has_qdpo_clk => 0,
77
                        c_has_d => 1,
78
                        c_qce_joined => 0,
79
                        c_width => 8,
80
                        c_reg_a_d_inputs => 0,
81
                        c_latency => 0,
82
                        c_has_spo => 1,
83
                        c_has_we => 1,
84
                        c_depth => 256,
85
                        c_has_i_ce => 0,
86
                        c_default_data_radix => 1,
87
                        c_default_data => "0",
88
                        c_has_dpra => 1,
89
                        c_has_clk => 1,
90
                        c_enable_rlocs => 1,
91
                        c_generate_mif => 1,
92
                        c_has_qspo_ce => 0,
93
                        c_addr_width => 8,
94
                        c_has_qdpo_srst => 0,
95
                        c_mux_type => 0,
96
                        c_has_spra => 0,
97
                        c_has_qdpo => 0,
98
                        c_reg_dpra_input => 0,
99
                        c_mem_init_file => "jpeg_ht_nr_of_symbols.mif",
100
                        c_has_qspo_srst => 0,
101
                        c_has_rd_en => 0,
102
                        c_read_mif => 0,
103
                        c_sync_enable => 0,
104
                        c_has_qdpo_ce => 0);
105
-- synopsys translate_on
106
BEGIN
107
-- synopsys translate_off
108
U0 : wrapped_jpeg_ht_nr_of_symbols
109
                port map (
110
                        A => A,
111
                        CLK => CLK,
112
                        D => D,
113
                        WE => WE,
114
                        DPRA => DPRA,
115
                        DPO => DPO,
116
                        SPO => SPO);
117
-- synopsys translate_on
118
 
119
END jpeg_ht_nr_of_symbols_a;
120
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.