OpenCores
URL https://opencores.org/ocsvn/mjpeg-decoder/mjpeg-decoder/trunk

Subversion Repositories mjpeg-decoder

[/] [mjpeg-decoder/] [trunk/] [mjpeg/] [pcores/] [myipif/] [hdl/] [vhdl/] [jpeg_qt_sr.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 smanz
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2006 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file jpeg_qt_sr.vhd when simulating
30
-- the core, jpeg_qt_sr. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synopsys directives "translate_off/translate_on" specified
35
-- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synopsys translate_off
41
Library XilinxCoreLib;
42
-- synopsys translate_on
43
ENTITY jpeg_qt_sr IS
44
        port (
45
        d: IN std_logic_VECTOR(7 downto 0);
46
        clk: IN std_logic;
47
        ce: IN std_logic;
48
        q: OUT std_logic_VECTOR(7 downto 0));
49
END jpeg_qt_sr;
50
 
51
ARCHITECTURE jpeg_qt_sr_a OF jpeg_qt_sr IS
52
-- synopsys translate_off
53
component wrapped_jpeg_qt_sr
54
        port (
55
        d: IN std_logic_VECTOR(7 downto 0);
56
        clk: IN std_logic;
57
        ce: IN std_logic;
58
        q: OUT std_logic_VECTOR(7 downto 0));
59
end component;
60
 
61
-- Configuration specification 
62
        for all : wrapped_jpeg_qt_sr use entity XilinxCoreLib.c_shift_ram_v8_0(behavioral)
63
                generic map(
64
                        c_has_aset => 0,
65
                        c_read_mif => 0,
66
                        c_has_a => 0,
67
                        c_sync_priority => 1,
68
                        c_opt_goal => 0,
69
                        c_has_sclr => 0,
70
                        c_width => 8,
71
                        c_enable_rlocs => 0,
72
                        c_default_data_radix => 1,
73
                        c_generate_mif => 0,
74
                        c_ainit_val => "0000000000000000",
75
                        c_has_ce => 1,
76
                        c_has_aclr => 0,
77
                        c_mem_init_radix => 1,
78
                        c_sync_enable => 0,
79
                        c_depth => 64,
80
                        c_has_ainit => 0,
81
                        c_sinit_val => "0000000000000000",
82
                        c_has_sset => 0,
83
                        c_has_sinit => 0,
84
                        c_mem_init_file => "no_coe_file_loaded",
85
                        c_shift_type => 0,
86
                        c_default_data => "00000000",
87
                        c_reg_last_bit => 0,
88
                        c_elaboration_dir => "./",
89
                        c_addr_width => 4);
90
-- synopsys translate_on
91
BEGIN
92
-- synopsys translate_off
93
U0 : wrapped_jpeg_qt_sr
94
                port map (
95
                        d => d,
96
                        clk => clk,
97
                        ce => ce,
98
                        q => q);
99
-- synopsys translate_on
100
 
101
END jpeg_qt_sr_a;
102
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.