OpenCores
URL https://opencores.org/ocsvn/mjpeg-decoder/mjpeg-decoder/trunk

Subversion Repositories mjpeg-decoder

[/] [mjpeg-decoder/] [trunk/] [mjpeg/] [pcores/] [myipif/] [hdl/] [vhdl/] [jpeg_upsampling_buffer.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 smanz
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2006 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file jpeg_upsampling_buffer.vhd when simulating
30
-- the core, jpeg_upsampling_buffer. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synopsys directives "translate_off/translate_on" specified
35
-- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synopsys translate_off
41
Library XilinxCoreLib;
42
-- synopsys translate_on
43
ENTITY jpeg_upsampling_buffer IS
44
        port (
45
        clka: IN std_logic;
46
        dina: IN std_logic_VECTOR(8 downto 0);
47
        addra: IN std_logic_VECTOR(10 downto 0);
48
        wea: IN std_logic_VECTOR(0 downto 0);
49
        clkb: IN std_logic;
50
        addrb: IN std_logic_VECTOR(10 downto 0);
51
        doutb: OUT std_logic_VECTOR(8 downto 0));
52
END jpeg_upsampling_buffer;
53
 
54
ARCHITECTURE jpeg_upsampling_buffer_a OF jpeg_upsampling_buffer IS
55
-- synopsys translate_off
56
component wrapped_jpeg_upsampling_buffer
57
        port (
58
        clka: IN std_logic;
59
        dina: IN std_logic_VECTOR(8 downto 0);
60
        addra: IN std_logic_VECTOR(10 downto 0);
61
        wea: IN std_logic_VECTOR(0 downto 0);
62
        clkb: IN std_logic;
63
        addrb: IN std_logic_VECTOR(10 downto 0);
64
        doutb: OUT std_logic_VECTOR(8 downto 0));
65
end component;
66
 
67
-- Configuration specification 
68
        for all : wrapped_jpeg_upsampling_buffer use entity XilinxCoreLib.blk_mem_gen_v1_1(behavioral)
69
                generic map(
70
                        c_has_regceb => 0,
71
                        c_has_regcea => 0,
72
                        c_mem_type => 1,
73
                        c_has_mux_output_regs => 0,
74
                        c_prim_type => 1,
75
                        c_sinita_val => "0",
76
                        c_read_width_b => 9,
77
                        c_family => "virtex2p",
78
                        c_read_width_a => 9,
79
                        c_disable_warn_bhv_coll => 0,
80
                        c_init_file_name => "no_coe_file_loaded",
81
                        c_write_mode_b => "WRITE_FIRST",
82
                        c_write_mode_a => "WRITE_FIRST",
83
                        c_load_init_file => 0,
84
                        c_write_depth_b => 2048,
85
                        c_write_depth_a => 2048,
86
                        c_has_ssrb => 0,
87
                        c_has_ssra => 0,
88
                        c_addra_width => 11,
89
                        c_addrb_width => 11,
90
                        c_default_data => "0",
91
                        c_algorithm => 1,
92
                        c_disable_warn_bhv_range => 0,
93
                        c_has_mem_output_regs => 0,
94
                        c_write_width_b => 9,
95
                        c_write_width_a => 9,
96
                        c_read_depth_b => 2048,
97
                        c_read_depth_a => 2048,
98
                        c_byte_size => 9,
99
                        c_sim_collision_check => "ALL",
100
                        c_common_clk => 0,
101
                        c_wea_width => 1,
102
                        c_has_enb => 0,
103
                        c_web_width => 1,
104
                        c_has_ena => 0,
105
                        c_sinitb_val => "0",
106
                        c_use_byte_web => 0,
107
                        c_use_byte_wea => 0,
108
                        c_use_default_data => 0,
109
                        C_CORENAME => "blk_mem_gen_v1_1"
110
                );
111
-- synopsys translate_on
112
BEGIN
113
-- synopsys translate_off
114
U0 : wrapped_jpeg_upsampling_buffer
115
                port map (
116
                        clka => clka,
117
                        dina => dina,
118
                        addra => addra,
119
                        wea => wea,
120
                        clkb => clkb,
121
                        addrb => addrb,
122
                        doutb => doutb);
123
-- synopsys translate_on
124
 
125
END jpeg_upsampling_buffer_a;
126
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.