OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [tb/] [wave.do] - Blame information for rev 70

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 mikel262
onerror {resume}
2
quietly virtual signal -install /jpeg_tb/u_jpegenc/u_fdct { /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr(5 downto 0)} wad
3
quietly WaveActivateNextPane {} 0
4
add wave -noupdate -divider HostBFM
5 70 mikel262
add wave -noupdate -divider JpegEnc
6
add wave -noupdate -divider CtrlSM
7
add wave -noupdate -divider BUF_FIFO
8
add wave -noupdate -divider FDCT
9
add wave -noupdate -divider ZZ_TOP
10
add wave -noupdate -divider {zigzag core}
11
add wave -noupdate -divider QUANT_TOP
12
add wave -noupdate -divider quantizer
13
add wave -noupdate -divider RLE_TOP
14
add wave -noupdate -divider rle_core
15
add wave -noupdate -divider DoubleFIFO
16
add wave -noupdate -divider RLE_DoubleFIFO
17
add wave -noupdate -divider HUFFMAN
18
add wave -noupdate -divider BYTE_STUFFER
19
add wave -noupdate -divider JFIFGen
20
add wave -noupdate -divider OutMux
21
add wave -noupdate -divider HostBFM
22 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/clk
23
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/rst
24
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_abus
25
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_be
26
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_in
27
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_rnw
28
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_select
29
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_out
30
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_xferack
31
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_retry
32
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_toutsup
33
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_errack
34
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/iram_wdata
35
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/iram_wren
36
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/fifo_almost_full
37
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/sim_done
38
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/num_comps
39
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_hostbfm/addr_inc
40
add wave -noupdate -divider JpegEnc
41 42 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/outif_almost_full
42 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/clk
43
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rst
44
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_abus
45
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_be
46
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_in
47
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_rnw
48
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_select
49
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_out
50
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_xferack
51
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_retry
52
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_toutsup
53
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_errack
54
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/iram_wdata
55
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/iram_wren
56
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_byte
57
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/ram_wren
58
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_wraddr
59
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qdata
60
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qaddr
61
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/qwren
62
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_ready
63
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_busy
64
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/outram_base_addr
65
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/num_enc_bytes
66
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_x
67
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_y
68
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/sof
69
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpg_iram_rden
70
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdaddr
71
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdata
72
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_start
73
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_ready
74
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_start
75
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_ready
76
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_start
77
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_ready
78
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_start
79
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_ready
80
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_start
81
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_ready
82
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zz_buf_sel
83
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_rd_addr
84
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_data
85
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_buf_sel
86
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_rdaddr
87
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_data
88
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_buf_sel
89
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_rdaddr
90
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_rden
91
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_runlength
92
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_size
93
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_amplitude
94
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_dval
95
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_buf_sel
96
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_fifo_empty
97
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_rd_req
98
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_packed_byte
99
add wave -noupdate -divider CtrlSM
100
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/clk
101
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rst
102
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/sof
103 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_x
104
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_y
105 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_ready
106
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_busy
107
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_start
108
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_ready
109 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_sm_settings
110 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_start
111
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_ready
112 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/zig_sm_settings
113
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/qua_start
114
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/qua_ready
115
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/qua_sm_settings
116 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_start
117
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_ready
118 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/rle_sm_settings
119 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_start
120
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_ready
121 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/huf_sm_settings
122 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_start
123
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_ready
124 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/bs_sm_settings
125
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_start
126
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_ready
127
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_eoi
128
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl
129
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/reg
130 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/main_state
131 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/start
132
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/idle
133
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/start_pb
134
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/ready_pb
135
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/fsm
136 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/start1_d
137 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/rsm
138
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl_s
139
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl_s2
140 25 mikel262
add wave -noupdate -divider BUF_FIFO
141
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/clk
142
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/rst
143 56 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_x
144
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_y
145 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/sof
146
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wren
147
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wdata
148
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_almost_full
149
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_rd
150
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_q
151 56 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_hf_full
152 70 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/pixel_cnt
153
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/line_cnt
154
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/pix_inblk_cnt
155
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/pix_inblk_cnt_d1
156
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/line_inblk_cnt
157
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/read_block_cnt
158
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/read_block_cnt_d1
159
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/write_block_cnt
160
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/busy
161
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/busy_block
162
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/next_memwr_line_cnt
163
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/next_pixel_cnt
164
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr_int
165
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/raddr_base_line
166
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/raddr_tmp
167
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/line_lock
168
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/memwr_line_cnt
169
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/memrd_offs_cnt
170
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/memrd_line
171
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/wr_line_idx
172
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/rd_line_idx
173
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/image_write_end
174
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/clk
175
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/rst
176
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_x
177
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_y
178
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/sof
179
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wren
180
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wdata
181
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_almost_full
182
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_rd
183
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_q
184
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_hf_full
185 52 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/pixel_cnt
186 56 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/line_cnt
187 52 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/pix_inblk_cnt
188 56 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/read_block_cnt
189
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/write_block_cnt
190
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr_int
191
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/raddr_base_line
192
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/raddr_tmp
193
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/line_lock
194
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/memwr_line_cnt
195
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/memwr_line_cnt
196
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/wr_line_idx
197 52 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/line_inblk_cnt
198 56 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/memrd_offs_cnt
199
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/memrd_line
200
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/rd_line_idx
201
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/image_write_end
202 25 mikel262
add wave -noupdate -divider FDCT
203
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/clk
204
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rst
205
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_pb
206
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/ready_pb
207
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/writing_en
208 40 mikel262
add wave -noupdate -format Literal -radix unsigned -expand /jpeg_tb/u_jpegenc/u_fdct/fdct_sm_settings
209 56 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx
210 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd
211 52 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_dval
212 25 mikel262
add wave -noupdate -format Literal -radix hexadecimal /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_q
213
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_hf_full
214
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_int
215
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_data
216
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_we
217 61 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fram1_waddr
218 25 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fram1_raddr
219 61 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_q_vld
220
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_q
221
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_rd_d(4)
222 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_rd_d
223
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_rd
224 61 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_line_cnt
225
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_pix_cnt
226
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/input_rd_cnt
227 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_started
228
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_buf_sel
229
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_rd_addr
230
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_data
231
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_rden
232
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_x
233
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_y
234
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/sof
235
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/mdct_data_in
236
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_idval
237
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_odval
238 61 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_fdct/mdct_data_out
239 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/odv1
240
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dcto1
241
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cmp_idx
242
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rd_addr
243
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en
244
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en_d1
245 56 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/eoi_fdct
246
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_pixel_cnt
247
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/y_line_cnt
248 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rdaddr
249
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wr_cnt
250
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_data
251
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q
252
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/dbuf_we
253
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr
254
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_raddr
255
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/xw_cnt
256
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/yw_cnt
257
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q_z1
258
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/sim_rd_addr
259
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_1
260
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_2
261
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_3
262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_1
263
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_2
264
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_3
265
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_1
266
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_2
267
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_3
268
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg
269
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg
270
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg
271
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/r_s
272
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/g_s
273
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/b_s
274
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_8bit
275
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_8bit
276
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_8bit
277
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d1
278
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d2
279
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d3
280
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d4
281
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d5
282
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d6
283
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d7
284
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d8
285
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d9
286
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd
287
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_wr
288
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_q
289
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_full
290
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_empty
291
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fifo1_count
292
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd_cnt
293
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_q_dval
294
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo_data_in
295
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo_rd_arm
296
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/eoi_fdct
297
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd_s
298
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wad
299
add wave -noupdate -divider ZZ_TOP
300
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/clk
301
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rst
302
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/start_pb
303
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/ready_pb
304 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zig_sm_settings
305
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/qua_buf_sel
306
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qua_rdaddr
307
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qua_data
308 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel
309
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_rd_addr
310 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_data
311
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_rden
312 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_data
313 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_q
314 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/dbuf_we
315
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_waddr
316
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_raddr
317 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_di
318 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_divalid
319 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dout
320 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dovalid
321
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/wr_cnt
322
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_cnt
323
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_en_d
324
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rd_en
325
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel_s
326 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zz_rd_addr
327
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fifo_empty
328
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fifo_rden
329 25 mikel262
add wave -noupdate -divider {zigzag core}
330
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rst
331
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/clk
332
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/di
333
add wave -noupdate -format Logic -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/divalid
334
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rd_addr
335
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_rden
336
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_empty
337
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dout
338
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dovalid
339
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/zz_rd_addr
340
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_wr
341
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_q
342
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_full
343
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_count
344
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_data_in
345 34 mikel262
add wave -noupdate -divider QUANT_TOP
346
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/clk
347
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rst
348
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/start_pb
349
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/ready_pb
350
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qua_sm_settings
351
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rle_buf_sel
352
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rle_rdaddr
353
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rle_data
354
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zig_buf_sel
355
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zig_rd_addr
356 70 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_quant_top/zig_data
357 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qdata
358
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qaddr
359
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/qwren
360
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_data
361
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_q
362
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/dbuf_we
363
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_waddr
364
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_raddr
365 70 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_quant_top/zigzag_di
366 34 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zigzag_divalid
367 40 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_quant_top/quant_dout
368 34 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/quant_dovalid
369
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/wr_cnt
370
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rd_cnt
371
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rd_en_d
372
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rd_en
373
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zig_buf_sel_s
374
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zz_rd_addr
375
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/fifo_empty
376 25 mikel262
add wave -noupdate -divider quantizer
377 34 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/rst
378
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/clk
379 70 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/di
380 34 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/divalid
381
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qdata
382
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qwaddr
383
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qwren
384
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/cmp_idx
385 70 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do
386 34 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/dovalid
387
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/romaddr_s
388
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/slv_romaddr_s
389
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/romdatao_s
390
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/divisor_s
391
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/remainder_s
392
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do_s
393
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/round_s
394
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/di_d1
395
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/pipeline_reg
396
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/sign_bit_pipe
397
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do_rdiv
398
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/table_select
399 25 mikel262
add wave -noupdate -divider RLE_TOP
400
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/clk
401
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rst
402
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/start_pb
403
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/ready_pb
404 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/qua_buf_sel
405
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/qua_buf_sel_s
406
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/qua_data
407
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/qua_rd_addr
408 25 mikel262
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/rle_sm_settings
409
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_buf_sel
410
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_rden
411
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/huf_runlength
412
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_size
413
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_amplitude
414
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval
415
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_fifo_empty
416
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_data
417
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_q
418
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/dbuf_we
419
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_runlength
420
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_size
421
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_amplitude
422
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_dovalid
423 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/wr_cnt
424 25 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_di
425
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_divalid
426
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval_p0
427
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
428
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
429
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
430
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
431
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
432
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
433
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
434
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
435
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
436
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
437
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
438
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
439
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
440
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
441
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
442
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
443
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
444
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
445
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
446
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
447
add wave -noupdate -divider rle_core
448
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rst
449
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/clk
450
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/di
451 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/divalid
452
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zrl_di
453 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/start_pb
454
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/sof
455 61 mikel262
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rle_sm_settings
456 36 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength
457
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size
458
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/amplitude
459
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid
460
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_addr
461
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_0
462
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_1
463
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_2
464 61 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_3
465 40 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/acc_reg
466 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size_reg
467 36 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/ampli_vli_reg
468 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength_reg
469
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid_reg
470 36 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zero_cnt
471 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt_d1
472 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt
473
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_cnt
474 36 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_en
475
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zrl_proc
476 38 mikel262
add wave -noupdate -divider DoubleFIFO
477
add wave -noupdate -divider RLE_DoubleFIFO
478
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
479
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
480
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
481
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
482
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
483
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
484
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
485
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
486
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
487
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
488
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
489
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
490
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
491
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
492
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
493
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
494
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
495
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
496
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
497
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
498
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_data_in
499 25 mikel262
add wave -noupdate -divider HUFFMAN
500
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/clk
501
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rst
502
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/start_pb
503
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_pb
504 40 mikel262
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/huf_sm_settings
505 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/sof
506
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/runlength
507
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size
508
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_huffman/vli
509
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_x
510
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_y
511
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel
512
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_fifo_empty
513
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/state
514
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel_s
515
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/first_rle_word
516
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/word_reg
517
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/bit_ptr
518
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/num_fifo_wrs
519
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wbyte
520
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wrt_cnt
521
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/fifo_wren
522
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/last_block
523 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/image_area_size
524
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/block_cnt
525 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rd_en
526
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_d
527
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_d
528
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_size
529
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc
530
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext
531
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext_size
532
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc_size
533
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc
534
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac_size
535
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac
536 38 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val
537 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d1
538
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d2
539
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d3
540
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_hfw
541
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/hfw_running
542
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_r
543
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_r
544
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_buf_sel
545
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_fifo_empty
546
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_rd_req
547
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/bs_packed_byte
548
add wave -noupdate -divider BYTE_STUFFER
549
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/clk
550
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rst
551
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/start_pb
552
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ready_pb
553
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_sm_settings
554
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/sof
555
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_bytestuffer/num_enc_bytes
556
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/outram_base_addr
557
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel
558
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_fifo_empty
559
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req
560
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/huf_packed_byte
561
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/latch_byte
562
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/data_valid
563
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/wait_for_ndata
564
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_bytestuffer/huf_data_val
565
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wdata_reg
566
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wraddr
567
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wr_n_cnt
568
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel_s
569
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rd_en
570
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req_s
571
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wren
572
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wraddr
573
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_byte
574
add wave -noupdate -format Logic /jpeg_tb/sim_done
575
add wave -noupdate -divider JFIFGen
576
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/clk
577
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rst
578
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/start
579
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ready
580
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/eoi
581
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/qwren
582
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwaddr
583
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwdata
584
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg
585
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg_wr
586
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/ram_byte
587
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ram_wren
588
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_jfifgen/ram_wraddr
589
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_data
590
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_waddr
591
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_raddr
592
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/hr_we
593
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_q
594
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/size_wr_cnt
595
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/size_wr
596
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt
597
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en
598
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en_d1
599
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d1
600
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d2
601
add wave -noupdate -divider OutMux
602
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/clk
603
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/rst
604
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/out_mux_ctrl
605
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/bs_ram_byte
606
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wren
607
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wraddr
608
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_byte
609
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wren
610
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wraddr
611
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_byte
612
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/ram_wren
613
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_wraddr
614
TreeUpdate [SetDefaultTree]
615 70 mikel262
WaveRestoreCursors {{Cursor 4} {103345000 ps} 0}
616 25 mikel262
configure wave -namecolwidth 150
617 52 mikel262
configure wave -valuecolwidth 83
618 25 mikel262
configure wave -justifyvalue left
619
configure wave -signalnamewidth 1
620
configure wave -snapdistance 10
621
configure wave -datasetprefix 0
622
configure wave -rowmargin 4
623
configure wave -childrowmargin 2
624
configure wave -gridoffset 0
625
configure wave -gridperiod 1
626
configure wave -griddelta 40
627
configure wave -timeline 0
628
configure wave -timelineunits ps
629
update
630 70 mikel262
WaveRestoreZoom {0 ps} {2175101250 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.