OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [mem_ctrl.vhd] - Blame information for rev 139

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rhoads
---------------------------------------------------------------------
2
-- TITLE: Memory Controller
3
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
4
-- DATE CREATED: 1/31/01
5
-- FILENAME: mem_ctrl.vhd
6 43 rhoads
-- PROJECT: Plasma CPU core
7 2 rhoads
-- COPYRIGHT: Software placed into the public domain by the author.
8
--    Software 'as is' without warranty.  Author liable for nothing.
9
-- DESCRIPTION:
10 43 rhoads
--    Memory controller for the Plasma CPU.
11 2 rhoads
--    Supports Big or Little Endian mode.
12
---------------------------------------------------------------------
13
library ieee;
14
use ieee.std_logic_1164.all;
15 39 rhoads
use work.mlite_pack.all;
16 2 rhoads
 
17
entity mem_ctrl is
18
   port(clk          : in std_logic;
19
        reset_in     : in std_logic;
20
        pause_in     : in std_logic;
21
        nullify_op   : in std_logic;
22 139 rhoads
        address_pc   : in std_logic_vector(31 downto 2);
23 2 rhoads
        opcode_out   : out std_logic_vector(31 downto 0);
24
 
25 139 rhoads
        address_in   : in std_logic_vector(31 downto 0);
26 2 rhoads
        mem_source   : in mem_source_type;
27
        data_write   : in std_logic_vector(31 downto 0);
28
        data_read    : out std_logic_vector(31 downto 0);
29
        pause_out    : out std_logic;
30
 
31 139 rhoads
        mem_address  : out std_logic_vector(31 downto 2);
32 2 rhoads
        mem_data_w   : out std_logic_vector(31 downto 0);
33
        mem_data_r   : in std_logic_vector(31 downto 0);
34 139 rhoads
        mem_byte_we  : out std_logic_vector(3 downto 0));
35 2 rhoads
end; --entity mem_ctrl
36
 
37
architecture logic of mem_ctrl is
38
   --"00" = big_endian; "11" = little_endian
39 139 rhoads
   constant ENDIAN_MODE   : std_logic_vector(1 downto 0) := "00";
40
   signal opcode_reg      : std_logic_vector(31 downto 0);
41
   signal next_opcode_reg : std_logic_vector(31 downto 0);
42 7 rhoads
 
43 139 rhoads
   signal mem_state_reg   : std_logic;
44
   constant STATE_ADDR    : std_logic := '0';
45
   constant STATE_ACCESS  : std_logic := '1';
46 72 rhoads
 
47 114 rhoads
begin
48 95 rhoads
 
49 6 rhoads
mem_proc: process(clk, reset_in, pause_in, nullify_op,
50 139 rhoads
                  address_pc, address_in, mem_source, data_write,
51
                  mem_data_r, opcode_reg, next_opcode_reg, mem_state_reg)
52
   variable address_var    : std_logic_vector(31 downto 2);
53
   variable data_read_var  : std_logic_vector(31 downto 0);
54
   variable data_write_var : std_logic_vector(31 downto 0);
55 72 rhoads
   variable opcode_next    : std_logic_vector(31 downto 0);
56 139 rhoads
   variable byte_sel_var   : std_logic_vector(3 downto 0);
57
   variable mem_state_next : std_logic;
58
   variable pause_var      : std_logic;
59 72 rhoads
   variable bits           : std_logic_vector(1 downto 0);
60 2 rhoads
begin
61 139 rhoads
   byte_sel_var := "0000";
62
   pause_var := '0';
63
   data_read_var := ZERO;
64
   data_write_var := ZERO;
65 49 rhoads
   mem_state_next := mem_state_reg;
66 139 rhoads
   opcode_next := opcode_reg;
67 2 rhoads
 
68
   case mem_source is
69 128 rhoads
   when MEM_READ32 =>
70 139 rhoads
      data_read_var := mem_data_r;
71 128 rhoads
 
72
   when MEM_READ16 | MEM_READ16S =>
73 139 rhoads
      if address_in(1) = ENDIAN_MODE(1) then
74
         data_read_var(15 downto 0) := mem_data_r(31 downto 16);
75 2 rhoads
      else
76 139 rhoads
         data_read_var(15 downto 0) := mem_data_r(15 downto 0);
77 2 rhoads
      end if;
78 139 rhoads
      if mem_source = MEM_READ16 or data_read_var(15) = '0' then
79
         data_read_var(31 downto 16) := ZERO(31 downto 16);
80 2 rhoads
      else
81 139 rhoads
         data_read_var(31 downto 16) := ONES(31 downto 16);
82 2 rhoads
      end if;
83 128 rhoads
 
84 139 rhoads
   when MEM_READ8 | MEM_READ8S =>
85
      bits := address_in(1 downto 0) xor ENDIAN_MODE;
86 2 rhoads
      case bits is
87 139 rhoads
      when "00" => data_read_var(7 downto 0) := mem_data_r(31 downto 24);
88
      when "01" => data_read_var(7 downto 0) := mem_data_r(23 downto 16);
89
      when "10" => data_read_var(7 downto 0) := mem_data_r(15 downto 8);
90
      when others => data_read_var(7 downto 0) := mem_data_r(7 downto 0);
91 2 rhoads
      end case;
92 139 rhoads
      if mem_source = MEM_READ8 or data_read_var(7) = '0' then
93
         data_read_var(31 downto 8) := ZERO(31 downto 8);
94 2 rhoads
      else
95 139 rhoads
         data_read_var(31 downto 8) := ONES(31 downto 8);
96 2 rhoads
      end if;
97 128 rhoads
 
98
   when MEM_WRITE32 =>
99 139 rhoads
      data_write_var := data_write;
100
      byte_sel_var := "1111";
101 128 rhoads
 
102
   when MEM_WRITE16 =>
103 139 rhoads
      data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
104
      if address_in(1) = ENDIAN_MODE(1) then
105
         byte_sel_var := "1100";
106 2 rhoads
      else
107 139 rhoads
         byte_sel_var := "0011";
108 2 rhoads
      end if;
109 128 rhoads
 
110
   when MEM_WRITE8 =>
111 139 rhoads
      data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
112 2 rhoads
                  data_write(7 downto 0) & data_write(7 downto 0);
113 139 rhoads
      bits := address_in(1 downto 0) xor ENDIAN_MODE;
114 2 rhoads
      case bits is
115
      when "00" =>
116 139 rhoads
         byte_sel_var := "1000";
117 2 rhoads
      when "01" =>
118 139 rhoads
         byte_sel_var := "0100";
119 2 rhoads
      when "10" =>
120 139 rhoads
         byte_sel_var := "0010";
121 2 rhoads
      when others =>
122 139 rhoads
         byte_sel_var := "0001";
123 2 rhoads
      end case;
124 128 rhoads
 
125 2 rhoads
   when others =>
126
   end case;
127 128 rhoads
 
128 139 rhoads
   if mem_source = MEM_FETCH then --opcode fetch
129
      address_var := address_pc;
130
      opcode_next := mem_data_r;
131
      mem_state_next := STATE_ADDR;
132
   else
133
      if mem_state_reg = STATE_ADDR then
134 95 rhoads
         if pause_in = '0' then
135 139 rhoads
            address_var := address_in(31 downto 2);
136
            mem_state_next := STATE_ACCESS;
137
            pause_var := '1';
138
         else
139
            address_var := address_pc;
140
            byte_sel_var := "0000";
141 95 rhoads
         end if;
142 139 rhoads
      else  --STATE_ACCESS
143 72 rhoads
         if pause_in = '0' then
144 139 rhoads
            address_var := address_pc;
145
            opcode_next := next_opcode_reg;
146 49 rhoads
            mem_state_next := STATE_ADDR;
147 139 rhoads
            byte_sel_var := "0000";
148
         else
149
            address_var := address_in(31 downto 2);
150
            byte_sel_var := "0000";
151 47 rhoads
         end if;
152 95 rhoads
      end if;
153 139 rhoads
   end if;
154 128 rhoads
 
155 95 rhoads
   if nullify_op = '1' and pause_in = '0' then
156 89 rhoads
      opcode_next := ZERO;  --NOP after beql
157 6 rhoads
   end if;
158 56 rhoads
 
159 2 rhoads
   if reset_in = '1' then
160 139 rhoads
      mem_state_reg <= STATE_ADDR;
161
      opcode_reg <= ZERO;
162
      next_opcode_reg <= ZERO;
163
   elsif rising_edge(clk) then
164
      if pause_in = '0' then
165
         mem_state_reg <= mem_state_next;
166
         opcode_reg <= opcode_next;
167
         if mem_state_reg = STATE_ADDR then
168
            next_opcode_reg <= mem_data_r;
169
         end if;
170
      end if;
171 2 rhoads
   end if;
172
 
173 139 rhoads
   mem_address <= address_var;
174 56 rhoads
   opcode_out <= opcode_reg;
175 139 rhoads
   data_read <= data_read_var;
176
   pause_out <= pause_var;
177
   mem_data_w <= data_write_var;
178
   mem_byte_we <= byte_sel_var;
179 56 rhoads
 
180 2 rhoads
end process; --data_proc
181
 
182
end; --architecture logic

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.