OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [mem_ctrl.vhd] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rhoads
---------------------------------------------------------------------
2
-- TITLE: Memory Controller
3
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
4
-- DATE CREATED: 1/31/01
5
-- FILENAME: mem_ctrl.vhd
6
-- PROJECT: MIPS CPU core
7
-- COPYRIGHT: Software placed into the public domain by the author.
8
--    Software 'as is' without warranty.  Author liable for nothing.
9
-- DESCRIPTION:
10
--    Memory controller for the MIPS CPU.
11
--    Supports Big or Little Endian mode.
12
--    This entity could implement interfaces to:
13
--       Data cache
14
--       Address cache
15
--       Memory management unit (MMU)
16
--       DRAM controller
17
---------------------------------------------------------------------
18
library ieee;
19
use ieee.std_logic_1164.all;
20
use work.mips_pack.all;
21
 
22
entity mem_ctrl is
23
   port(clk          : in std_logic;
24
        reset_in     : in std_logic;
25
        pause_in     : in std_logic;
26
        nullify_op   : in std_logic;
27
        address_pc   : in std_logic_vector(31 downto 0);
28
        opcode_out   : out std_logic_vector(31 downto 0);
29
 
30
        address_data : in std_logic_vector(31 downto 0);
31
        mem_source   : in mem_source_type;
32
        data_write   : in std_logic_vector(31 downto 0);
33
        data_read    : out std_logic_vector(31 downto 0);
34
        pause_out    : out std_logic;
35
 
36
        mem_address  : out std_logic_vector(31 downto 0);
37
        mem_data_w   : out std_logic_vector(31 downto 0);
38
        mem_data_r   : in std_logic_vector(31 downto 0);
39
        mem_byte_sel : out std_logic_vector(3 downto 0);
40
        mem_write    : out std_logic;
41
        mem_pause    : in std_logic);
42
end; --entity mem_ctrl
43
 
44
architecture logic of mem_ctrl is
45
   --"00" = big_endian; "11" = little_endian
46
   constant little_endian : std_logic_vector(1 downto 0) := "00";
47
   signal opcode_reg : std_logic_vector(31 downto 0);
48
   signal next_opcode_reg : std_logic_vector(31 downto 0);
49
   signal setup_done : std_logic;
50
begin
51
 
52 6 rhoads
mem_proc: process(clk, reset_in, pause_in, nullify_op,
53
                  address_pc, address_data, mem_source, data_write,
54
                  mem_data_r, mem_pause,
55 2 rhoads
                  opcode_reg, next_opcode_reg, setup_done)
56
   variable data, datab   : std_logic_vector(31 downto 0);
57
   variable opcode_temp   : std_logic_vector(31 downto 0);
58
   variable byte_sel_temp : std_logic_vector(3 downto 0);
59
   variable write_temp    : std_logic;
60
   variable setup_done_var : std_logic;
61
   variable pause         : std_logic;
62
   variable address_temp  : std_logic_vector(31 downto 0);
63
   variable bits          : std_logic_vector(1 downto 0);
64
   variable mem_data_w_v  : std_logic_vector(31 downto 0);
65
begin
66
   byte_sel_temp := "0000";
67
   write_temp := '0';
68
   pause := '0';
69
   setup_done_var := setup_done;
70
 
71
   address_temp := address_pc;
72
   data := mem_data_r;
73
   datab := ZERO;
74
   mem_data_w_v := ZERO; --"ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ";
75
 
76
   case mem_source is
77
   when mem_read32 =>
78
      datab := data;
79
   when mem_read16 | mem_read16s =>
80
      if address_data(1) = little_endian(1) then
81
         datab(15 downto 0) := data(31 downto 16);
82
      else
83
         datab(15 downto 0) := data(15 downto 0);
84
      end if;
85
      if mem_source = mem_read16 or datab(15) = '0' then
86
         datab(31 downto 16) := ZERO(31 downto 16);
87
      else
88
         datab(31 downto 16) := ONES(31 downto 16);
89
      end if;
90
   when mem_read8 | mem_read8s =>
91
      bits := address_data(1 downto 0) xor little_endian;
92
      case bits is
93
      when "00" => datab(7 downto 0) := data(31 downto 24);
94
      when "01" => datab(7 downto 0) := data(23 downto 16);
95
      when "10" => datab(7 downto 0) := data(15 downto 8);
96
      when others => datab(7 downto 0) := data(7 downto 0);
97
      end case;
98
      if mem_source = mem_read8 or datab(7) = '0' then
99
         datab(31 downto 8) := ZERO(31 downto 8);
100
      else
101
         datab(31 downto 8) := ONES(31 downto 8);
102
      end if;
103
   when mem_write32 =>
104
      write_temp := '1';
105
      mem_data_w_v := data_write;
106
      byte_sel_temp := "1111";
107
   when mem_write16 =>
108
      write_temp := '1';
109
      mem_data_w_v := data_write(15 downto 0) & data_write(15 downto 0);
110
      if address_data(1) = little_endian(1) then
111
         byte_sel_temp := "1100";
112
      else
113
         byte_sel_temp := "0011";
114
      end if;
115
   when mem_write8 =>
116
      write_temp := '1';
117
      mem_data_w_v := data_write(7 downto 0) & data_write(7 downto 0) &
118
                  data_write(7 downto 0) & data_write(7 downto 0);
119
      bits := address_data(1 downto 0) xor little_endian;
120
      case bits is
121
      when "00" =>
122
         byte_sel_temp := "1000";
123
      when "01" =>
124
         byte_sel_temp := "0100";
125
      when "10" =>
126
         byte_sel_temp := "0010";
127
      when others =>
128
         byte_sel_temp := "0001";
129
      end case;
130
   when others =>
131
   end case;
132
 
133
   opcode_temp := opcode_reg;
134
   if mem_source = mem_none then
135
      setup_done_var := '0';
136
      if pause_in = '0' and mem_pause = '0' then
137 6 rhoads
         opcode_temp := data;
138 2 rhoads
      end if;
139
   else
140
      pause := not setup_done;
141
      setup_done_var := '1';
142
      if setup_done = '1' then
143
         address_temp := address_data;
144
         if mem_pause = '0' then
145
            opcode_temp := next_opcode_reg;
146
            setup_done_var := '0';
147
         end if;
148
      end if;
149
   end if;
150 6 rhoads
   if nullify_op = '1' then
151
      opcode_temp := ZERO;  --NOP
152
   end if;
153 2 rhoads
   if reset_in = '1' then
154
      setup_done_var := '0';
155
      opcode_temp := ZERO;
156
   end if;
157
 
158
   if rising_edge(clk) then
159
      opcode_reg <= opcode_temp;
160
      if setup_done = '0' then
161
         next_opcode_reg <= data;
162
      end if;
163
      setup_done <= setup_done_var;
164
   end if;
165
 
166
   opcode_out <= opcode_reg;
167
   data_read <= datab;
168
   pause_out <= mem_pause or pause;
169
   mem_byte_sel <= byte_sel_temp;
170
   mem_address <= address_temp;
171
   mem_write <= write_temp and setup_done;
172
   mem_data_w <= mem_data_w_v;
173
 
174
end process; --data_proc
175
 
176
end; --architecture logic
177
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.