OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [pipeline.vhd] - Blame information for rev 107

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 69 rhoads
---------------------------------------------------------------------
2
-- TITLE: Pipeline
3
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
4
-- DATE CREATED: 6/24/02
5
-- FILENAME: pipeline.vhd
6
-- PROJECT: Plasma CPU core
7
-- COPYRIGHT: Software placed into the public domain by the author.
8
--    Software 'as is' without warranty.  Author liable for nothing.
9
-- DESCRIPTION:
10
--    Controls the three stage pipeline by delaying the signals:
11
--      a_bus, b_bus, alu/shift/mult_func, c_source, and rs_index.
12
---------------------------------------------------------------------
13
library ieee;
14
use ieee.std_logic_1164.all;
15
use work.mlite_pack.all;
16
 
17
--Note: sigD <= sig after rising_edge(clk)
18
entity pipeline is
19
   port(clk            : in  std_logic;
20
        reset          : in  std_logic;
21
        a_bus          : in  std_logic_vector(31 downto 0);
22
        a_busD         : out std_logic_vector(31 downto 0);
23
        b_bus          : in  std_logic_vector(31 downto 0);
24
        b_busD         : out std_logic_vector(31 downto 0);
25
        alu_func       : in  alu_function_type;
26
        alu_funcD      : out alu_function_type;
27
        shift_func     : in  shift_function_type;
28
        shift_funcD    : out shift_function_type;
29
        mult_func      : in  mult_function_type;
30
        mult_funcD     : out mult_function_type;
31
        reg_dest       : in  std_logic_vector(31 downto 0);
32
        reg_destD      : out std_logic_vector(31 downto 0);
33
        rd_index       : in  std_logic_vector(5 downto 0);
34
        rd_indexD      : out std_logic_vector(5 downto 0);
35
 
36
        rs_index       : in  std_logic_vector(5 downto 0);
37
        rt_index       : in  std_logic_vector(5 downto 0);
38
        pc_source      : in  pc_source_type;
39
        mem_source     : in  mem_source_type;
40
        a_source       : in  a_source_type;
41
        b_source       : in  b_source_type;
42
        c_source       : in  c_source_type;
43
        c_bus          : in  std_logic_vector(31 downto 0);
44
        pause_any      : in  std_logic;
45
        pause_pipeline : out std_logic);
46
end; --entity pipeline
47
 
48
architecture logic of pipeline is
49 107 rhoads
   signal rd_index_reg     : std_logic_vector(5 downto 0);
50
   signal reg_dest_reg     : std_logic_vector(31 downto 0);
51
   signal c_source_reg     : c_source_type;
52
   signal pause_enable_reg : std_logic;
53 69 rhoads
begin
54
 
55
--When operating in three stage pipeline mode, the following signals
56
--are delayed by one clock cycle:  a_bus, b_bus, alu/shift/mult_func,
57
--c_source, and rd_index.
58
pipeline3: process(clk, reset, a_bus, b_bus, alu_func, shift_func, mult_func,
59 107 rhoads
      rd_index, rd_index_reg, pause_any, pause_enable_reg,
60 96 rhoads
      rs_index, rt_index,
61 82 rhoads
      pc_source, mem_source, a_source, b_source, c_source, c_source_reg,
62
      reg_dest, reg_dest_reg, c_bus)
63 69 rhoads
   variable pause_mult_clock : std_logic;
64 107 rhoads
   variable freeze_pipeline  : std_logic;
65 69 rhoads
begin
66
   if (pc_source /= from_inc4 and pc_source /= from_opcode25_0) or
67 107 rhoads
         mem_source /= mem_fetch or
68
         (mult_func = mult_read_lo or mult_func = mult_read_hi) then
69 69 rhoads
      pause_mult_clock := '1';
70
   else
71
      pause_mult_clock := '0';
72
   end if;
73
 
74 107 rhoads
   freeze_pipeline := not (pause_mult_clock and pause_enable_reg) and pause_any;
75
   pause_pipeline <= pause_mult_clock and pause_enable_reg;
76 69 rhoads
   rd_indexD <= rd_index_reg;
77
 
78
   if c_source_reg = c_from_alu then
79
      reg_destD <= c_bus;
80
   else
81
      reg_destD <= reg_dest_reg;
82
   end if;
83
 
84
   if reset = '1' then
85 107 rhoads
      pause_enable_reg <= '1';
86 69 rhoads
      rd_index_reg <= "000000";
87
   elsif rising_edge(clk) then
88 101 rhoads
      if freeze_pipeline = '0' then
89 107 rhoads
         if (rs_index = "000000" or rs_index /= rd_index_reg) or
90
            (a_source /= a_from_reg_source or pause_enable_reg = '0') then
91
            a_busD <= a_bus;
92
         elsif c_source_reg = c_from_alu then
93
            a_busD <= c_bus;  --rs from previous operation (bypass stage)
94
         else
95
            a_busD <= reg_dest_reg;
96
         end if;
97
 
98
         if (rt_index = "000000" or rt_index /= rd_index_reg) or
99
               (b_source /= b_from_reg_target or pause_enable_reg = '0') then
100
            b_busD <= b_bus;
101
         elsif c_source_reg = c_from_alu then
102
            b_busD <= c_bus;  --rt from previous operation
103
         else
104
            b_busD <= reg_dest_reg;
105
         end if;
106
 
107
         alu_funcD <= alu_func;
108
         shift_funcD <= shift_func;
109
         mult_funcD <= mult_func;
110
         reg_dest_reg <= reg_dest;
111
         c_source_reg <= c_source;
112 101 rhoads
         rd_index_reg <= rd_index;
113
      end if;
114 107 rhoads
 
115
      if pause_enable_reg = '0' and pause_any = '0' then
116
         pause_enable_reg <= '1';   --enable pause_pipeline
117
      elsif pause_mult_clock = '1' then
118
         pause_enable_reg <= '0';   --disable pause_pipeline
119
      end if;
120 69 rhoads
   end if;
121
 
122
end process; --pipeline3
123
 
124
end; --logic
125 101 rhoads
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.