OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [pipeline.vhd] - Blame information for rev 96

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 69 rhoads
---------------------------------------------------------------------
2
-- TITLE: Pipeline
3
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
4
-- DATE CREATED: 6/24/02
5
-- FILENAME: pipeline.vhd
6
-- PROJECT: Plasma CPU core
7
-- COPYRIGHT: Software placed into the public domain by the author.
8
--    Software 'as is' without warranty.  Author liable for nothing.
9
-- DESCRIPTION:
10
--    Controls the three stage pipeline by delaying the signals:
11
--      a_bus, b_bus, alu/shift/mult_func, c_source, and rs_index.
12
---------------------------------------------------------------------
13
library ieee;
14
use ieee.std_logic_1164.all;
15
use work.mlite_pack.all;
16
 
17
--Note: sigD <= sig after rising_edge(clk)
18
entity pipeline is
19
   port(clk            : in  std_logic;
20
        reset          : in  std_logic;
21
        a_bus          : in  std_logic_vector(31 downto 0);
22
        a_busD         : out std_logic_vector(31 downto 0);
23
        b_bus          : in  std_logic_vector(31 downto 0);
24
        b_busD         : out std_logic_vector(31 downto 0);
25
        alu_func       : in  alu_function_type;
26
        alu_funcD      : out alu_function_type;
27
        shift_func     : in  shift_function_type;
28
        shift_funcD    : out shift_function_type;
29
        mult_func      : in  mult_function_type;
30
        mult_funcD     : out mult_function_type;
31
        reg_dest       : in  std_logic_vector(31 downto 0);
32
        reg_destD      : out std_logic_vector(31 downto 0);
33
        rd_index       : in  std_logic_vector(5 downto 0);
34
        rd_indexD      : out std_logic_vector(5 downto 0);
35
 
36
        rs_index       : in  std_logic_vector(5 downto 0);
37
        rt_index       : in  std_logic_vector(5 downto 0);
38
        pc_source      : in  pc_source_type;
39
        mem_source     : in  mem_source_type;
40
        a_source       : in  a_source_type;
41
        b_source       : in  b_source_type;
42
        c_source       : in  c_source_type;
43
        c_bus          : in  std_logic_vector(31 downto 0);
44
        pause_any      : in  std_logic;
45
        pause_pipeline : out std_logic);
46
end; --entity pipeline
47
 
48
architecture logic of pipeline is
49 82 rhoads
   signal rd_index_reg : std_logic_vector(5 downto 0);
50
   signal reg_dest_reg : std_logic_vector(31 downto 0);
51
   signal c_source_reg : c_source_type;
52
   signal pause_reg    : std_logic;
53 69 rhoads
begin
54
 
55
--When operating in three stage pipeline mode, the following signals
56
--are delayed by one clock cycle:  a_bus, b_bus, alu/shift/mult_func,
57
--c_source, and rd_index.
58
pipeline3: process(clk, reset, a_bus, b_bus, alu_func, shift_func, mult_func,
59
      rd_index, rd_index_reg, pause_any, pause_reg,
60 96 rhoads
      rs_index, rt_index,
61 82 rhoads
      pc_source, mem_source, a_source, b_source, c_source, c_source_reg,
62
      reg_dest, reg_dest_reg, c_bus)
63 69 rhoads
   variable pause_mult_clock : std_logic;
64
begin
65
   if (pc_source /= from_inc4 and pc_source /= from_opcode25_0) or
66
      mem_source /= mem_fetch or
67
      (mult_func = mult_read_lo or mult_func = mult_read_hi) then
68
      pause_mult_clock := '1';
69
   else
70
      pause_mult_clock := '0';
71
   end if;
72
 
73
   pause_pipeline <= pause_mult_clock and pause_reg;
74
   rd_indexD <= rd_index_reg;
75
 
76
   if c_source_reg = c_from_alu then
77
      reg_destD <= c_bus;
78
   else
79
      reg_destD <= reg_dest_reg;
80
   end if;
81
 
82
   if rising_edge(clk) then
83
      if (rs_index = "000000" or rs_index /= rd_index_reg) or
84
            (a_source /= a_from_reg_source or pause_reg = '0') then
85
         a_busD <= a_bus;
86
      elsif c_source_reg = c_from_alu then
87
         a_busD <= c_bus;  --rs from previous operation (bypass stage)
88
      else
89
         a_busD <= reg_dest_reg;
90
      end if;
91
 
92
      if (rt_index = "000000" or rt_index /= rd_index_reg) or
93
            (b_source /= b_from_reg_target or pause_reg = '0') then
94
         b_busD <= b_bus;
95
      elsif c_source_reg = c_from_alu then
96
         b_busD <= c_bus;  --rt from previous operation
97
      else
98
         b_busD <= reg_dest_reg;
99
      end if;
100
 
101
      alu_funcD <= alu_func;
102
      shift_funcD <= shift_func;
103
      mult_funcD <= mult_func;
104
      reg_dest_reg <= reg_dest;
105
      c_source_reg <= c_source;
106
   end if;
107
 
108
   if reset = '1' then
109
      pause_reg <= '1';
110
      rd_index_reg <= "000000";
111
   elsif rising_edge(clk) then
112
      if pause_reg = '0' and pause_any = '0' then
113
         pause_reg <= '1';   --enable pause_pipeline
114
      elsif pause_mult_clock = '1' then
115
         pause_reg <= '0';   --disable pause_pipeline
116
      end if;
117
      rd_index_reg <= rd_index;
118
   end if;
119
 
120
end process; --pipeline3
121
 
122
end; --logic

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.