OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [ram_xilinx.vhd] - Blame information for rev 350

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 139 rhoads
---------------------------------------------------------------------
2
-- TITLE: Random Access Memory for Xilinx
3
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
4
-- DATE CREATED: 11/06/05
5
-- FILENAME: ram_xilinx.vhd
6
-- PROJECT: Plasma CPU core
7
-- COPYRIGHT: Software placed into the public domain by the author.
8
--    Software 'as is' without warranty.  Author liable for nothing.
9
-- DESCRIPTION:
10
--    Implements the RAM for Spartan 3 Xilinx FPGA
11
--
12 262 rhoads
--    Compile the MIPS C and assembly code into "test.axf".
13
--    Run convert.exe to change "test.axf" to "code.txt" which
14 139 rhoads
--    will contain the hex values of the opcodes.
15 181 rhoads
--    Next run "ram_image ram_xilinx.vhd code.txt ram_image.vhd",
16 139 rhoads
--    to create the "ram_image.vhd" file that will have the opcodes
17 181 rhoads
--    correctly placed inside the INIT_00 => strings.
18 139 rhoads
--    Then include ram_image.vhd in the simulation/synthesis.
19
---------------------------------------------------------------------
20
library ieee;
21
use ieee.std_logic_1164.all;
22
use ieee.std_logic_misc.all;
23
use ieee.std_logic_arith.all;
24
use ieee.std_logic_unsigned.all;
25
use work.mlite_pack.all;
26
library UNISIM;
27
use UNISIM.vcomponents.all;
28
 
29
entity ram is
30
   generic(memory_type : string := "DEFAULT");
31
   port(clk               : in std_logic;
32
        enable            : in std_logic;
33
        write_byte_enable : in std_logic_vector(3 downto 0);
34
        address           : in std_logic_vector(31 downto 2);
35
        data_write        : in std_logic_vector(31 downto 0);
36
        data_read         : out std_logic_vector(31 downto 0));
37
end; --entity ram
38
 
39
architecture logic of ram is
40
begin
41
 
42
   RAMB16_S9_inst0 : RAMB16_S9
43
   generic map (
44
INIT_00 => X"000000000000000000000000000000000000000000000000000000000c080400",
45
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
46
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
47
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
48
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
49
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
50
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
51
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
52
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
53
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
54
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
55
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
56
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
57
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
58
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
59
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
60
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
61
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
62
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
63
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
64
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
65
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
66
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
67
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
68
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
69
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
70
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
71
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
72
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
73
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
74
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
75
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
76
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
77
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
78
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
79
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
80
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
81
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
82
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
83
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
84
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
85
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
86
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
87
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
88
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
89
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
90
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
91
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
92
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
93
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
94
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
95
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
96
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
97
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
98
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
99
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
100
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
101
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
102
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
103
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
104
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
105
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
106
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
107
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000")
108
   port map (
109
      DO   => data_read(31 downto 24),
110
      DOP  => open,
111
      ADDR => address(12 downto 2),
112
      CLK  => clk,
113
      DI   => data_write(31 downto 24),
114
      DIP  => ZERO(0 downto 0),
115
      EN   => enable,
116
      SSR  => ZERO(0),
117
      WE   => write_byte_enable(3));
118
 
119
   RAMB16_S9_inst1 : RAMB16_S9
120
   generic map (
121
INIT_00 => X"000000000000000000000000000000000000000000000000000000000d090501",
122
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
123
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
124
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
125
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
126
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
127
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
128
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
129
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
130
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
131
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
132
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
133
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
134
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
135
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
136
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
137
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
138
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
139
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
140
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
141
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
142
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
143
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
144
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
145
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
146
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
147
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
148
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
149
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
150
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
151
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
152
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
153
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
154
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
155
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
156
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
157
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
158
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
159
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
160
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
161
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
162
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
163
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
164
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
165
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
166
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
167
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
168
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
169
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
170
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
171
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
172
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
173
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
174
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
175
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
176
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
177
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
178
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
179
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
180
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
181
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
182
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
183
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
184
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000")
185
   port map (
186
      DO   => data_read(23 downto 16),
187
      DOP  => open,
188
      ADDR => address(12 downto 2),
189
      CLK  => clk,
190
      DI   => data_write(23 downto 16),
191
      DIP  => ZERO(0 downto 0),
192
      EN   => enable,
193
      SSR  => ZERO(0),
194
      WE   => write_byte_enable(2));
195
 
196
   RAMB16_S9_inst2 : RAMB16_S9
197
   generic map (
198
INIT_00 => X"000000000000000000000000000000000000000000000000000000000e0a0602",
199
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
200
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
201
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
202
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
203
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
204
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
205
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
206
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
207
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
208
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
209
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
210
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
211
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
212
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
213
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
214
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
215
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
216
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
217
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
218
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
219
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
220
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
221
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
222
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
223
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
224
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
225
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
226
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
227
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
228
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
229
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
230
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
231
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
232
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
233
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
234
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
235
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
236
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
237
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
238
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
239
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
240
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
241
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
242
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
243
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
244
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
245
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
246
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
247
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
248
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
249
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
250
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
251
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
252
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
253
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
254
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
255
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
256
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
257
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
258
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
259
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
260
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
261
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000")
262
   port map (
263
      DO   => data_read(15 downto 8),
264
      DOP  => open,
265
      ADDR => address(12 downto 2),
266
      CLK  => clk,
267
      DI   => data_write(15 downto 8),
268
      DIP  => ZERO(0 downto 0),
269
      EN   => enable,
270
      SSR  => ZERO(0),
271
      WE   => write_byte_enable(1));
272
 
273
   RAMB16_S9_inst3 : RAMB16_S9
274
   generic map (
275
INIT_00 => X"000000000000000000000000000000000000000000000000000000000f0b0703",
276
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
277
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
278
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
279
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
280
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
281
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
282
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
283
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
284
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
285
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
286
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
287
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
288
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
289
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
290
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
291
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
292
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
293
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
294
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
295
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
296
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
297
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
298
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
299
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
300
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
301
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
302
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
303
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
304
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
305
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
306
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
307
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
308
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
309
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
310
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
311
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
312
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
313
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
314
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
315
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
316
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
317
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
318
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
319
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
320
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
321
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
322
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
323
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
324
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
325
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
326
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
327
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
328
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
329
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
330
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
331
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
332
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
333
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
334
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
335
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
336
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
337
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
338
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000")
339
   port map (
340
      DO   => data_read(7 downto 0),
341
      DOP  => open,
342
      ADDR => address(12 downto 2),
343
      CLK  => clk,
344
      DI   => data_write(7 downto 0),
345
      DIP  => ZERO(0 downto 0),
346
      EN   => enable,
347
      SSR  => ZERO(0),
348
      WE   => write_byte_enable(0));
349
 
350
end; --architecture logic

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.