OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [reg_bank.vhd] - Blame information for rev 132

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rhoads
---------------------------------------------------------------------
2
-- TITLE: Register Bank
3
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
4
-- DATE CREATED: 2/2/01
5
-- FILENAME: reg_bank.vhd
6 43 rhoads
-- PROJECT: Plasma CPU core
7 2 rhoads
-- COPYRIGHT: Software placed into the public domain by the author.
8
--    Software 'as is' without warranty.  Author liable for nothing.
9
-- DESCRIPTION:
10
--    Implements a register bank with 32 registers that are 32-bits wide.
11
--    There are two read-ports and one write port.
12
---------------------------------------------------------------------
13
library ieee;
14
use ieee.std_logic_1164.all;
15 12 rhoads
use ieee.std_logic_unsigned.all;
16 39 rhoads
use work.mlite_pack.all;
17 2 rhoads
 
18
entity reg_bank is
19 132 rhoads
   generic(memory_type : string := "DEFAULT");
20 2 rhoads
   port(clk            : in  std_logic;
21 24 rhoads
        reset_in       : in  std_logic;
22 74 rhoads
        pause          : in  std_logic;
23 2 rhoads
        rs_index       : in  std_logic_vector(5 downto 0);
24
        rt_index       : in  std_logic_vector(5 downto 0);
25
        rd_index       : in  std_logic_vector(5 downto 0);
26
        reg_source_out : out std_logic_vector(31 downto 0);
27
        reg_target_out : out std_logic_vector(31 downto 0);
28
        reg_dest_new   : in  std_logic_vector(31 downto 0);
29
        intr_enable    : out std_logic);
30
end; --entity reg_bank
31
 
32 9 rhoads
 
33 8 rhoads
--------------------------------------------------------------------
34 9 rhoads
-- The ram_block architecture attempts to use TWO dual-port memories.
35 12 rhoads
-- Different FPGAs and ASICs need different implementations.
36
-- Choose one of the RAM implementations below.
37 9 rhoads
-- I need feedback on this section!
38 8 rhoads
--------------------------------------------------------------------
39
architecture ram_block of reg_bank is
40 55 rhoads
   signal intr_enable_reg : std_logic;
41 8 rhoads
   type ram_type is array(31 downto 0) of std_logic_vector(31 downto 0);
42 115 rhoads
 
43 9 rhoads
   --controls access to dual-port memories
44
   signal addr_a1, addr_a2, addr_b : std_logic_vector(4 downto 0);
45
   signal data_out1, data_out2     : std_logic_vector(31 downto 0);
46
   signal write_enable             : std_logic;
47 115 rhoads
 
48 8 rhoads
begin
49 115 rhoads
 
50 8 rhoads
reg_proc: process(clk, rs_index, rt_index, rd_index, reg_dest_new,
51 88 rhoads
      intr_enable_reg, data_out1, data_out2, reset_in, pause)
52 8 rhoads
begin
53 9 rhoads
   --setup for first dual-port memory
54
   if rs_index = "101110" then  --reg_epc CP0 14
55
      addr_a1 <= "00000";
56
   else
57
      addr_a1 <= rs_index(4 downto 0);
58
   end if;
59 8 rhoads
   case rs_index is
60
   when "000000" => reg_source_out <= ZERO;
61 55 rhoads
   when "101100" => reg_source_out <= ZERO(31 downto 1) & intr_enable_reg;
62 108 rhoads
   when "111111" => --interrupt vector address = 0x3c 
63
                    reg_source_out <= ZERO(31 downto 8) & "00111100";
64 9 rhoads
   when others   => reg_source_out <= data_out1;
65 8 rhoads
   end case;
66
 
67 9 rhoads
   --setup for second dual-port memory
68
   addr_a2 <= rt_index(4 downto 0);
69 8 rhoads
   case rt_index is
70
   when "000000" => reg_target_out <= ZERO;
71 9 rhoads
   when others   => reg_target_out <= data_out2;
72 8 rhoads
   end case;
73
 
74 9 rhoads
   --setup second port (write port) for both dual-port memories
75 74 rhoads
   if rd_index /= "000000" and rd_index /= "101100" and pause = '0' then
76 9 rhoads
      write_enable <= '1';
77
   else
78
      write_enable <= '0';
79
   end if;
80
   if rd_index = "101110" then  --reg_epc CP0 14
81
      addr_b <= "00000";
82
   else
83
      addr_b <= rd_index(4 downto 0);
84
   end if;
85
 
86 55 rhoads
   if reset_in = '1' then
87
      intr_enable_reg <= '0';
88
   elsif rising_edge(clk) then
89 88 rhoads
      if rd_index = "101110" then     --reg_epc CP0 14
90
         intr_enable_reg <= '0';      --disable interrupts
91 24 rhoads
      elsif rd_index = "101100" then
92 55 rhoads
         intr_enable_reg <= reg_dest_new(0);
93 9 rhoads
      end if;
94 8 rhoads
   end if;
95
 
96 55 rhoads
   intr_enable <= intr_enable_reg;
97 9 rhoads
end process;
98 8 rhoads
 
99 9 rhoads
 
100 115 rhoads
--------------------------------------------------------------
101
---- Pick only ONE of the dual-port RAM implementations below!
102
--------------------------------------------------------------
103 12 rhoads
 
104 115 rhoads
-- synopsys synthesis_off
105 12 rhoads
 
106
   -- Option #1
107
   -- One tri-port RAM, two read-ports, one write-port
108
   -- 32 registers 32-bits wide
109 47 rhoads
   tri_port_mem:
110 132 rhoads
   if memory_type = "DEFAULT" generate
111 47 rhoads
      ram_proc: process(clk, addr_a1, addr_a2, addr_b, reg_dest_new,
112
            write_enable)
113
      variable tri_port_ram : ram_type;
114
      begin
115
         data_out1 <= tri_port_ram(conv_integer(addr_a1));
116
         data_out2 <= tri_port_ram(conv_integer(addr_a2));
117
         if rising_edge(clk) then
118
            if write_enable = '1' then
119
               tri_port_ram(conv_integer(addr_b)) := reg_dest_new;
120
            end if;
121 12 rhoads
         end if;
122 47 rhoads
      end process;
123
   end generate; --tri_port_mem
124 9 rhoads
 
125
 
126 12 rhoads
   -- Option #2
127
   -- Two dual-port RAMs, each with one read-port and one write-port
128
   -- According to the Xilinx answers database record #4075 this 
129
   -- architecture may cause Synplify to infer synchronous dual-port 
130
   -- RAM using RAM16x1D.  
131 47 rhoads
   dual_port_mem:
132
   if memory_type = "DUAL_PORT" generate
133 55 rhoads
      ram_proc2: process(clk, addr_a1, addr_a2, addr_b, reg_dest_new,
134 47 rhoads
            write_enable)
135
      variable dual_port_ram1 : ram_type;
136
      variable dual_port_ram2 : ram_type;
137
      begin
138
         data_out1 <= dual_port_ram1(conv_integer(addr_a1));
139
         data_out2 <= dual_port_ram2(conv_integer(addr_a2));
140
         if rising_edge(clk) then
141
            if write_enable = '1' then
142
               dual_port_ram1(conv_integer(addr_b)) := reg_dest_new;
143
               dual_port_ram2(conv_integer(addr_b)) := reg_dest_new;
144
            end if;
145
         end if;
146
      end process;
147
   end generate; --dual_port_mem
148 9 rhoads
 
149 115 rhoads
  -- synopsys synthesis_on
150 9 rhoads
 
151 115 rhoads
  dual_port_mem_coregen:
152
   if memory_type = "DUAL_PORT_XILINX" generate
153
 
154
      reg_file_dp_ram_1: reg_file_dp_ram
155
        port map (
156
          addra => addr_a1,
157
          addrb => addr_b,
158
          clka  => clk,
159
          clkb  => clk,
160
          dinb  => reg_dest_new,
161
          douta => data_out1,
162
          web   => write_enable);
163
 
164
      reg_file_dp_ram_2: reg_file_dp_ram
165
        port map (
166
          addra => addr_a2,
167
          addrb => addr_b,
168
          clka  => clk,
169
          clkb  => clk,
170
          dinb  => reg_dest_new,
171
          douta => data_out2,
172
          web   => write_enable);
173
 
174
   end generate; --dual_port_mem
175
 
176
   dual_port_mem_xc4000xla: if memory_type = "DUAL_PORT_XILINX_XC4000XLA" generate
177
 
178
     reg_file_dp_ram_1: reg_file_dp_ram_xc4000xla
179
       port map (
180
         A      => addr_b,
181
         DI     => reg_dest_new,
182
         WR_EN  => write_enable,
183
         WR_CLK => clk,
184
         DPRA   => addr_a1,
185
         SPO    => open,
186
         DPO    => data_out1);
187
 
188
     reg_file_dp_ram_2: reg_file_dp_ram_xc4000xla
189
       port map (
190
         A      => addr_b,
191
         DI     => reg_dest_new,
192
         WR_EN  => write_enable,
193
         WR_CLK => clk,
194
         DPRA   => addr_a2,
195
         SPO    => open,
196
         DPO    => data_out2);
197
 
198
   end generate; --dual_port_mem
199
 
200 12 rhoads
   -- Option #3
201 9 rhoads
   -- Generic Two-Port Synchronous RAM
202
   -- generic_tpram can be obtained from:
203
   -- http://www.opencores.org/cvsweb.shtml/generic_memories/
204
   -- Supports ASICs (Artisan, Avant, and Virage) and Xilinx FPGA
205 47 rhoads
--   generic_mem:
206
--   if memory_type = "OPENCORES_MEM" generate
207
--      bank1 : generic_tpram port map (
208
--         clk_a  => clk,
209
--         rst_a  => '0',
210
--         ce_a   => '1',
211
--         we_a   => '0',
212
--         oe_a   => '1',
213
--         addr_a => addr_a1,
214
--         di_a   => ZERO,
215
--         do_a   => data_out1,
216 9 rhoads
--
217 47 rhoads
--         clk_b  => clk,
218
--         rst_b  => '0',
219
--         ce_b   => '1',
220
--         we_b   => write_enable,
221
--         oe_b   => '0',
222
--         addr_b => addr_b,
223
--         di_a   => reg_dest_new);
224 9 rhoads
--
225 47 rhoads
--      bank2 : generic_tpram port map (
226
--         clk_a  => clk,
227
--         rst_a  => '0',
228
--         ce_a   => '1',
229
--         we_a   => '0',
230
--         oe_a   => '1',
231
--         addr_a => addr_a2,
232
--         di_a   => ZERO,
233
--         do_a   => data_out2,
234 9 rhoads
--
235 47 rhoads
--         clk_b  => clk,
236
--         rst_b  => '0',
237
--         ce_b   => '1',
238
--         we_b   => write_enable,
239
--         oe_b   => '0',
240
--         addr_b => addr_b,
241
--         di_a   => reg_dest_new);
242
--   end generate; --generic_mem
243 9 rhoads
 
244
 
245 12 rhoads
   -- Option #4
246 9 rhoads
   -- Xilinx mode using four 16x16 banks
247 47 rhoads
--   xilinx_mem:
248
--   if memory_type = "XILINX" generate
249
--      bank1_high: ramb4_s16_s16 port map (
250
--         clka  => clk,
251
--         rsta  => sig_false,
252
--         addra => addr_a1,
253
--         dia   => zero_sig,
254
--         ena   => sig_true,
255
--         wea   => sig_false,
256
--         doa   => data_out1(31 downto 16),
257 9 rhoads
--
258 47 rhoads
--         clkb  => clk,
259
--         rstb  => sig_false,
260
--         addrb => addr_b,
261
--         dib   => reg_dest_new(31 downto 16),
262
--         enb   => sig_true,
263
--         web   => write_enable);
264 9 rhoads
--
265 47 rhoads
--      bank1_low: ramb4_s16_s16 port map (
266
--         clka  => clk,
267
--         rsta  => sig_false,
268
--         addra => addr_a1,
269
--         dia   => zero_sig,
270
--         ena   => sig_true,
271
--         wea   => sig_false,
272
--         doa   => data_out1(15 downto 0),
273 9 rhoads
--
274 47 rhoads
--         clkb  => clk,
275
--         rstb  => sig_false,
276
--         addrb => addr_b,
277
--         dib   => reg_dest_new(15 downto 0),
278
--         enb   => sig_true,
279
--         web   => write_enable);
280 9 rhoads
--
281 47 rhoads
--      bank2_high: ramb4_s16_s16 port map (
282
--         clka  => clk,
283
--         rsta  => sig_false,
284
--         addra => addr_a2,
285
--         dia   => zero_sig,
286
--         ena   => sig_true,
287
--         wea   => sig_false,
288
--         doa   => data_out2(31 downto 16),
289 9 rhoads
--
290 47 rhoads
--         clkb  => clk,
291
--         rstb  => sig_false,
292
--         addrb => addr_b,
293
--         dib   => reg_dest_new(31 downto 16),
294
--         enb   => sig_true,
295
--         web   => write_enable);
296 9 rhoads
--
297 47 rhoads
--      bank2_low: ramb4_s16_s16 port map (
298
--         clka  => clk,
299
--         rsta  => sig_false,
300
--         addra => addr_a2,
301
--         dia   => zero_sig,
302
--         ena   => sig_true,
303
--         wea   => sig_false,
304
--         doa   => data_out2(15 downto 0),
305 9 rhoads
--
306 47 rhoads
--         clkb  => clk,
307
--         rstb  => sig_false,
308
--         addrb => addr_b,
309
--         dib   => reg_dest_new(15 downto 0),
310
--         enb   => sig_true,
311
--         web   => write_enable);
312
--   end generate; --xilinx_mem
313 9 rhoads
 
314 8 rhoads
 
315 123 rhoads
   -- Option #5
316
   -- Altera LPM_RAM_DP
317
   -- Xilinx users may need to comment out this section!!!
318
   altera_mem:
319
   if memory_type = "ALTERA" generate
320
      lpm_ram_dp_component1 : lpm_ram_dp
321
      GENERIC MAP (
322
         lpm_width => 32,
323
         lpm_widthad => 5,
324
         rden_used => "FALSE",
325
         intended_device_family => "UNUSED",
326
         lpm_indata => "REGISTERED",
327
         lpm_wraddress_control => "REGISTERED",
328
         lpm_rdaddress_control => "UNREGISTERED",
329
         lpm_outdata => "UNREGISTERED",
330
         use_eab => "ON",
331
         lpm_type => "LPM_RAM_DP"
332
      )
333
      PORT MAP (
334
         wren => write_enable,
335
         wrclock => clk,
336
         data => reg_dest_new,
337
         rdaddress => addr_a1,
338
         wraddress => addr_b,
339
         q => data_out1
340
      );
341
      lpm_ram_dp_component2 : lpm_ram_dp
342
      GENERIC MAP (
343
         lpm_width => 32,
344
         lpm_widthad => 5,
345
         rden_used => "FALSE",
346
         intended_device_family => "UNUSED",
347
         lpm_indata => "REGISTERED",
348
         lpm_wraddress_control => "REGISTERED",
349
         lpm_rdaddress_control => "UNREGISTERED",
350
         lpm_outdata => "UNREGISTERED",
351
         use_eab => "ON",
352
         lpm_type => "LPM_RAM_DP"
353
      )
354
      PORT MAP (
355
         wren => write_enable,
356
         wrclock => clk,
357
         data => reg_dest_new,
358
         rdaddress => addr_a2,
359
         wraddress => addr_b,
360
         q => data_out2
361
      );
362
   end generate; --altera_mem
363 8 rhoads
 
364 12 rhoads
end; --architecture ram_block
365 2 rhoads
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.