OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [fifo_primitive.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 JonasDC
------------------------------------------------------------------------------------ 
2
--                      
3
-- Geoffrey Ottoy - DraMCo research group
4
--
5
-- Module Name: adder_n.vhd / entity adder_n
6
-- 
7
-- Last Modified:       04/04/2012 
8
-- 
9
-- Description:         512x32-bit fifo
10
--
11
--
12
-- Dependencies:        FIFO18E1 primitive
13
--
14
-- Revision:
15
--      Revision 1.00 - Architecture
16
--      Revision 0.01 - File Created
17
--
18
--
19
------------------------------------------------------------------------------------
20
--
21
-- NOTICE:
22
--
23
-- Copyright DraMCo research group. 2011. This code may be contain portions patented
24
-- by other third parties!
25
--
26
------------------------------------------------------------------------------------
27
library IEEE;
28
use IEEE.STD_LOGIC_1164.ALL;
29
use IEEE.STD_LOGIC_ARITH.ALL;
30
use IEEE.STD_LOGIC_UNSIGNED.ALL;
31
 
32
---- Uncomment the following library declaration if instantiating
33
---- any Xilinx primitives in this code.
34
library UNISIM;
35
use UNISIM.VComponents.all;
36
 
37
entity fifo_primitive is
38
    Port ( clk : in  STD_LOGIC;
39
           din : in  STD_LOGIC_VECTOR (31 downto 0);
40
           dout : out  STD_LOGIC_VECTOR (31 downto 0);
41
           empty : out  STD_LOGIC;
42
           full : out  STD_LOGIC;
43
           push : in  STD_LOGIC;
44
           pop : in  STD_LOGIC;
45
                          reset : in STD_LOGIC;
46
                          nopop : out STD_LOGIC;
47
                          nopush : out STD_LOGIC
48
                          );
49
end fifo_primitive;
50
 
51
architecture Behavioral of fifo_primitive is
52
        signal rdcount : std_logic_vector(11 downto 0); -- debugging
53
        signal wrcount : std_logic_vector(11 downto 0); -- debugging
54
 
55
        signal reset_i, pop_i, push_i, empty_i, full_i, wrerr_i, rderr_i : std_logic;
56
begin
57
 
58
        empty <= empty_i;
59
        full <= full_i;
60
 
61
        -- these logical equations need to be extended where necessary
62
        nopop <= rderr_i or (pop and reset_i);
63
        nopush <= wrerr_i or (push and reset_i);
64
 
65
        pop_i <= pop and (not reset_i);
66
        push_i <= push and (not reset_i);
67
 
68
        -- makes the reset at least three clk_cycles long
69
        RESET_PROC: process (reset, clk)
70
                variable clk_counter : integer range 0 to 3 := 3;
71
        begin
72
                if reset = '1' then
73
                        reset_i <= '1';
74
                        clk_counter := 3;
75
                elsif rising_edge(clk) then
76
                        if clk_counter = 0 then
77
                                clk_counter := 0;
78
                                reset_i <= '0';
79
                        else
80
                                clk_counter := clk_counter - 1;
81
                                reset_i <= '1';
82
                        end if;
83
                end if;
84
        end process;
85
 
86
   FIFO18E1_inst : FIFO18E1
87
   generic map (
88
      ALMOST_EMPTY_OFFSET => X"00080",  -- Sets the almost empty threshold
89
      ALMOST_FULL_OFFSET => X"00080",   -- Sets almost full threshold
90
      DATA_WIDTH => 36,                 -- Sets data width to 4, 9, 18, or 36
91
      DO_REG => 1,                      -- Enable output register (0 or 1) Must be 1 if EN_SYN = "FALSE" 
92
      EN_SYN => TRUE,                   -- Specifies FIFO as dual-clock ("FALSE") or Synchronous ("TRUE")
93
      FIFO_MODE => "FIFO18_36",            -- Sets mode to FIFO18 or FIFO18_36
94
      FIRST_WORD_FALL_THROUGH => FALSE, -- Sets the FIFO FWFT to "TRUE" or "FALSE" 
95
      INIT => X"000000000",             -- Initial values on output port
96
      SRVAL => X"000000000"             -- Set/Reset value for output port
97
   )
98
   port map (
99
     -- ALMOSTEMPTY => ALMOSTEMPTY, -- 1-bit almost empty output flag
100
     -- ALMOSTFULL => ALMOSTFULL,   -- 1-bit almost full output flag
101
      DO => dout,                   -- 32-bit data output
102
     -- DOP => DOP,                 -- 4-bit parity data output
103
      EMPTY => empty_i,             -- 1-bit empty output flag
104
      FULL => full_i,               -- 1-bit full output flag
105
      -- WRCOUNT, RDCOUNT: 12-bit (each) FIFO pointers
106
      RDCOUNT => RDCOUNT,         -- 12-bit read count output
107
      WRCOUNT => WRCOUNT,         -- 12-bit write count output
108
      -- WRERR, RDERR: 1-bit (each) FIFO full or empty error
109
      RDERR => rderr_i,             -- 1-bit read error output
110
      WRERR => wrerr_i,             -- 1-bit write error
111
      DI => din,                   -- 32-bit data input
112
      DIP => "0000",                 -- 4-bit parity input
113
      RDEN => pop_i,               -- 1-bit read enable input
114
      REGCE => '1',             -- 1-bit clock enable input
115
      RST => reset_i,                 -- 1-bit reset input
116
      RSTREG => reset_i,           -- 1-bit output register set/reset
117
      -- WRCLK, RDCLK: 1-bit (each) Clocks
118
      RDCLK => clk,             -- 1-bit read clock input
119
      WRCLK => clk,             -- 1-bit write clock input
120
      WREN => push_i                -- 1-bit write enable input
121
   );
122
 
123
end Behavioral;
124
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.