OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [mont_ctrl.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 JonasDC
------------------------------------------------------------------------------------ 
2
--                      
3
-- Geoffrey Ottoy - DraMCo research group
4
--
5
-- Module Name: mont_ctrl.vhd / entity mont_ctrl
6
-- 
7
-- Last Modified:       25/04/2012 
8
-- 
9
-- Description:         control unit for a pipelined montgomery multiplier, with split
10
--                pipeline operation and "auto-run" support
11
--
12
--
13
-- Dependencies:        autorun_cntrl
14
--
15
-- Revision:
16
-- Revision 2.00 - Added autorun_control_logic
17
--      Revision 1.00 - Architecture with support for single multiplication
18
--      Revision 0.01 - File Created
19
--
20
--
21
------------------------------------------------------------------------------------
22
--
23
-- NOTICE:
24
--
25
-- Copyright DraMCo research group. 2011. This code may be contain portions patented
26
-- by other third parties!
27
--
28
------------------------------------------------------------------------------------
29
library IEEE;
30
use IEEE.STD_LOGIC_1164.ALL;
31
use IEEE.STD_LOGIC_ARITH.ALL;
32
use IEEE.STD_LOGIC_UNSIGNED.ALL;
33
 
34
---- Uncomment the following library declaration if instantiating
35
---- any Xilinx primitives in this code.
36
--library UNISIM;
37
--use UNISIM.VComponents.all;
38
 
39
entity mont_ctrl is
40
  port ( clk : in std_logic; --v
41
         reset : in std_logic; --v
42
                        -- bus side
43
                        start : in std_logic; --v
44
         --p_sel : in std_logic_vector(1 downto 0);
45
         x_sel_single : in std_logic_vector(1 downto 0); --v
46
         y_sel_single : in std_logic_vector(1 downto 0); --v
47
                        run_auto : in std_logic;
48
         op_buffer_empty : in std_logic;
49
         op_sel_buffer : in std_logic_vector(31 downto 0);
50
                        read_buffer : out std_logic;
51
                        buffer_noread : in std_logic;
52
                        done : out std_logic;
53
         calc_time : out std_logic; -- v
54
                        -- multiplier side
55
                        op_sel : out std_logic_vector(1 downto 0); --v
56
                        load_x : out std_logic;  -- v
57
                        load_result : out std_logic; --v 
58
                        start_multiplier : out std_logic; -- v
59
                        multiplier_ready : in std_logic
60
 
61
  );
62
end mont_ctrl;
63
 
64
architecture Behavioral of mont_ctrl is
65
        signal start_delayed_i : std_logic; -- delayed version of start input
66
        signal start_pulse_i : std_logic;
67
        signal auto_start_pulse_i : std_logic;
68
        signal start_multiplier_i : std_logic;
69
        signal start_up_counter_i : std_logic_vector(2 downto 0):= "100"; -- used in op_sel at multiplier start
70
        signal auto_start_i : std_logic := '0';
71
        signal store_autorun_i : std_logic;
72
        signal run_auto_i : std_logic;
73
        signal run_auto_stored_i : std_logic := '0';
74
        signal single_start_pulse_i : std_logic;
75
 
76
        signal calc_time_i : std_logic; -- high ('1') during multiplication
77
 
78
        signal x_sel_i : std_logic_vector(1 downto 0); -- the operand used as x input
79
        signal y_sel_i : std_logic_vector(1 downto 0); -- the operand used as y input
80
        signal x_sel_buffer_i : std_logic_vector(1 downto 0); -- x operand as specified by fifo buffer (autorun)
81
 
82
        signal auto_done_i : std_logic;
83
        signal start_auto_i : std_logic;
84
   signal new_buf_part_i : std_logic;
85
        signal new_buf_word_i : std_logic;
86
        signal buf_part_i : std_logic_vector(3 downto 0);
87
        signal pop_i : std_logic;
88
        signal start_autorun_cycle_i : std_logic;
89
        signal start_autorun_cycle_1_i : std_logic;
90
        signal autorun_counter_i : std_logic_vector(1 downto 0);
91
        signal part_counter_i : std_logic_vector(2 downto 0);
92
        signal auto_multiplier_done_i : std_logic;
93
 
94
        COMPONENT autorun_cntrl
95
        PORT(
96
                clk : IN std_logic;
97
                reset : IN std_logic;
98
                start : IN std_logic;
99
                multiplier_done : IN std_logic;
100
                buffer_din : IN std_logic_vector(31 downto 0);
101
                buffer_empty : IN std_logic;
102
                done : OUT std_logic;
103
                op_sel : OUT std_logic_vector(1 downto 0);
104
                start_multiplier : OUT std_logic;
105
                read_buffer : OUT std_logic
106
                );
107
        END COMPONENT;
108
begin
109
 
110
        -----------------------------------------------------------------------------------
111
        -- Processes related to starting and stopping the multiplier
112
        -----------------------------------------------------------------------------------
113
        -- generate a start pulse (duration 1 clock cycle) based on ext. start sig
114
        START_PULSE_PROC: process(clk)
115
        begin
116
                if rising_edge(clk) then
117
                        start_delayed_i <= start;
118
                end if;
119
        end process START_PULSE_PROC;
120
        --start_pulse_i <= store_autorun_i and (not run_auto_i);
121
        start_pulse_i <= start and (not start_delayed_i);
122
        single_start_pulse_i <= start_pulse_i and (not run_auto_i);
123
        --store_autorun_i <= (start and (not start_delayed_i));
124
        --start_auto_i <= store_autorun_i and run_auto_i;
125
        start_auto_i <= start_pulse_i and run_auto_i;
126
 
127
        -- to start the multiplier we first need to select the y_operand and
128
        -- clock it in the y_register
129
        -- the we select the x_operand and start the multiplier
130
        START_MULT_PROC: process(clk, reset)
131
        begin
132
                if reset = '1' then
133
                        start_up_counter_i <= "100";
134
                elsif rising_edge(clk) then
135
                        if start_pulse_i = '1' or auto_start_pulse_i = '1' then
136
                                start_up_counter_i <= "000";
137
                        elsif start_up_counter_i(2) /= '1' then
138
                                start_up_counter_i <= start_up_counter_i + '1';
139
                        else
140
                                start_up_counter_i <= "100";
141
                        end if;
142
                else
143
                        start_up_counter_i <= start_up_counter_i;
144
                end if;
145
        end process;
146
 
147
        -- select operands (autorun/single run)
148
        x_sel_i <= x_sel_buffer_i when (run_auto_i = '1') else x_sel_single;
149
        y_sel_i <= "11" when (run_auto_i = '1') else y_sel_single; -- y is operand3 in auto mode
150
 
151
        -- clock operands to operand_mem output (first y, then x)
152
        with start_up_counter_i(2 downto 1) select
153
                op_sel <= y_sel_i when "00",
154
                          x_sel_i when others;
155
        load_x <= start_up_counter_i(0) and (not start_up_counter_i(1));
156
        -- start multiplier
157
        start_multiplier_i <= start_up_counter_i(1) and start_up_counter_i(0);
158
        start_multiplier <= start_multiplier_i;
159
 
160
        -- signal calc time is high during multiplication
161
        CALC_TIME_PROC: process(clk, reset)
162
        begin
163
                if reset = '1' then
164
                        calc_time_i <= '0';
165
                elsif rising_edge(clk) then
166
                        if start_multiplier_i = '1' then
167
                                calc_time_i <= '1';
168
                        elsif multiplier_ready = '1' then
169
                                calc_time_i <= '0';
170
                        else
171
                                calc_time_i <= calc_time_i;
172
                        end if;
173
                else
174
                        calc_time_i <= calc_time_i;
175
                end if;
176
        end process CALC_TIME_PROC;
177
        calc_time <= calc_time_i;
178
 
179
        -- what happens when a multiplication has finished
180
        load_result <= multiplier_ready;
181
        -- ignore multiplier_ready when in automode, the logic will assert auto_done_i when finished
182
        done <= ((not run_auto_i) and multiplier_ready) or auto_done_i;
183
 
184
        -----------------------------------------------------------------------------------
185
        -- Processes related to op_buffer cntrl and auto_run mode
186
        -- start_auto_i     -> start autorun mode operation
187
        -- auto_start_pulse <- autorun logic starts the multiplier
188
        -- auto_done        <- autorun logic signals when autorun operation has finished
189
        -- x_sel_buffer_i   <- autorun logic determines which operand is used as x
190
 
191
        -- check buffer empty signal
192
        -----------------------------------------------------------------------------------
193
 
194
        -- at the beginning of each new multiplication we store the current autorun bit
195
--      STORE_AUTORUN_PROC: process(clk)
196
--      begin
197
--              if rising_edge(clk) then
198
--                      if store_autorun_i = '1' then
199
--                              run_auto_stored_i <= run_auto;
200
--                      else
201
--                              run_auto_stored_i <= run_auto_stored_i;
202
--                      end if;
203
--              end if;
204
--      end process STORE_AUTORUN_PROC;
205
        run_auto_i <= run_auto;
206
        --run_auto_i <= run_auto or run_auto_stored_i;
207
 
208
 
209
        -- multiplier_ready is only passed to autorun control when in autorun mode
210
        auto_multiplier_done_i <= (multiplier_ready and run_auto_i);
211
        autorun_control_logic: autorun_cntrl PORT MAP(
212
                clk => clk,
213
                reset => reset,
214
                start => start_auto_i,
215
                done => auto_done_i,
216
                op_sel => x_sel_buffer_i,
217
                start_multiplier => auto_start_pulse_i,
218
                multiplier_done => auto_multiplier_done_i,
219
                read_buffer => read_buffer,
220
                buffer_din => op_sel_buffer,
221
                buffer_empty => op_buffer_empty
222
        );
223
end Behavioral;
224
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.