OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [standard_cell_block.vhd] - Blame information for rev 22

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 JonasDC
----------------------------------------------------------------------  
2
----  standard_cell_block                                         ---- 
3
----                                                              ---- 
4
----  This file is part of the                                    ----
5
----    Modular Simultaneous Exponentiation Core project          ---- 
6
----    http://www.opencores.org/cores/mod_sim_exp/               ---- 
7
----                                                              ---- 
8
----  Description                                                 ---- 
9 17 JonasDC
----    a block of (width) cell_1b cells for use in the           ----
10 3 JonasDC
----    montgommery multiplier systolic array                     ----
11
----                                                              ----
12
----  Dependencies:                                               ----
13
----    - cell_1b                                                 ----
14
----                                                              ----
15
----  Authors:                                                    ----
16
----      - Geoffrey Ottoy, DraMCo research group                 ----
17
----      - Jonas De Craene, JonasDC@opencores.org                ---- 
18
----                                                              ---- 
19
---------------------------------------------------------------------- 
20
----                                                              ---- 
21
---- Copyright (C) 2011 DraMCo research group and OPENCORES.ORG   ---- 
22
----                                                              ---- 
23
---- This source file may be used and distributed without         ---- 
24
---- restriction provided that this copyright statement is not    ---- 
25
---- removed from the file and that any derivative work contains  ---- 
26
---- the original copyright notice and the associated disclaimer. ---- 
27
----                                                              ---- 
28
---- This source file is free software; you can redistribute it   ---- 
29
---- and/or modify it under the terms of the GNU Lesser General   ---- 
30
---- Public License as published by the Free Software Foundation; ---- 
31
---- either version 2.1 of the License, or (at your option) any   ---- 
32
---- later version.                                               ---- 
33
----                                                              ---- 
34
---- This source is distributed in the hope that it will be       ---- 
35
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
36
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
37
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
38
---- details.                                                     ---- 
39
----                                                              ---- 
40
---- You should have received a copy of the GNU Lesser General    ---- 
41
---- Public License along with this source; if not, download it   ---- 
42
---- from http://www.opencores.org/lgpl.shtml                     ---- 
43
----                                                              ---- 
44
----------------------------------------------------------------------
45 2 JonasDC
 
46 3 JonasDC
library ieee;
47
use ieee.std_logic_1164.all;
48
use ieee.std_logic_arith.all;
49
use ieee.std_logic_unsigned.all;
50 2 JonasDC
 
51 3 JonasDC
library mod_sim_exp;
52
use mod_sim_exp.mod_sim_exp_pkg.all;
53
 
54 17 JonasDC
-- a standard cell block of (width)-bit for the montgommery multiplier 
55
-- systolic array
56 2 JonasDC
entity standard_cell_block is
57 3 JonasDC
  generic (
58
    width : integer := 16
59
  );
60
  port (
61 17 JonasDC
    -- modulus and y operand input (width)-bit
62 3 JonasDC
    my   : in  std_logic_vector((width-1) downto 0);
63
    y    : in  std_logic_vector((width-1) downto 0);
64
    m    : in  std_logic_vector((width-1) downto 0);
65 17 JonasDC
    -- q and x operand input (serial input)
66 3 JonasDC
    x    : in  std_logic;
67
    q    : in  std_logic;
68 17 JonasDC
    -- previous result in (width)-bit
69 3 JonasDC
    a    : in  std_logic_vector((width-1) downto 0);
70 17 JonasDC
    -- carry in and out
71 3 JonasDC
    cin  : in std_logic;
72
    cout : out std_logic;
73 17 JonasDC
    -- result out (width)-bit
74 3 JonasDC
    r    : out  std_logic_vector((width-1) downto 0)
75
  );
76 2 JonasDC
end standard_cell_block;
77
 
78 3 JonasDC
 
79 2 JonasDC
architecture Structural of standard_cell_block is
80 17 JonasDC
  -- vector for the carry bits
81 2 JonasDC
        signal carry : std_logic_vector(width downto 0);
82
begin
83
 
84 17 JonasDC
        -- carry in
85 2 JonasDC
        carry(0) <= cin;
86
 
87 17 JonasDC
        -- structure of (width) 1-bit cells
88 3 JonasDC
  cell_block : for i in 0 to (width-1) generate
89
    cells : cell_1b
90
    port map(
91
      my   => my(i),
92
      y    => y(i),
93
      m    => m(i),
94
      x    => x,
95
      q    => q,
96
      a    => a(i),
97
      cin  => carry(i),
98
      cout => carry(i+1),
99
      r    => r(i)
100
    );
101
  end generate;
102 17 JonasDC
 
103
  -- carry out
104 2 JonasDC
        cout <= carry(width);
105 3 JonasDC
end Structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.