OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [design/] [RVI/] [modular_oscilloscope/] [simulation/] [modelsim.log] - Blame information for rev 62

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 62 budinero
# Reading C:/Actel/Libero_v8.5/Model/tcl/vsim/pref.tcl
2
# do run.do
3
# INFO: Simulation library presynth already exists
4
# Modifying modelsim.ini
5
# Modifying modelsim.ini
6
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
7
# -- Loading package standard
8
# -- Loading package std_logic_1164
9
# -- Loading package std_logic_arith
10
# -- Loading package std_logic_unsigned
11
# -- Loading package numeric_std
12
# -- Compiling entity daq
13
# -- Compiling architecture archdaq2 of daq
14
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
15
# -- Loading package standard
16
# -- Loading package std_logic_1164
17
# -- Compiling entity dual_port_memory
18
# -- Compiling architecture def_arch of dual_port_memory
19
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
20
# -- Loading package standard
21
# -- Loading package std_logic_1164
22
# -- Compiling entity dual_port_memory_wb
23
# -- Compiling architecture arch01 of dual_port_memory_wb
24
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
25
# -- Loading package standard
26
# -- Loading package std_logic_1164
27
# -- Compiling entity a3pe_pll_2clk
28
# -- Compiling architecture def_arch of a3pe_pll_2clk
29
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
30
# -- Loading package standard
31
# -- Loading package std_logic_1164
32
# -- Compiling entity eppwbn_ctrl
33
# -- Compiling architecture state_machines of eppwbn_ctrl
34
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
35
# -- Loading package standard
36
# -- Loading package std_logic_1164
37
# -- Compiling entity eppwbn_epp_side
38
# -- Compiling architecture multiplexor of eppwbn_epp_side
39
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
40
# -- Loading package standard
41
# -- Loading package std_logic_1164
42
# -- Loading package numeric_std
43
# -- Loading package std_logic_arith
44
# -- Loading package std_logic_unsigned
45
# -- Compiling entity eppwbn_wbn_side
46
# -- Compiling architecture bridge2 of eppwbn_wbn_side
47
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
48
# -- Loading package standard
49
# -- Loading package std_logic_1164
50
# -- Compiling package eppwbn_pkg
51
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
52
# -- Loading package standard
53
# -- Loading package std_logic_1164
54
# -- Loading package eppwbn_pkg
55
# -- Compiling entity eppwbn
56
# -- Compiling architecture structural of eppwbn
57
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
58
# -- Loading package standard
59
# -- Loading package std_logic_1164
60
# -- Loading package std_logic_arith
61
# -- Loading package std_logic_unsigned
62
# -- Loading package numeric_std
63
# -- Loading package eppwbn_pkg
64
# -- Compiling entity eppwbn_width_extension
65
# -- Compiling architecture arch_0 of eppwbn_width_extension
66
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
67
# -- Loading package standard
68
# -- Loading package std_logic_1164
69
# -- Loading package eppwbn_pkg
70
# -- Compiling entity eppwbn_16bit
71
# -- Compiling architecture structural of eppwbn_16bit
72
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
73
# -- Loading package standard
74
# -- Loading package std_logic_1164
75
# -- Loading package std_logic_arith
76
# -- Loading package std_logic_unsigned
77
# -- Loading package numeric_std
78
# -- Compiling entity ctrl_output_manager
79
# -- Compiling architecture arch22 of ctrl_output_manager
80
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
81
# -- Loading package standard
82
# -- Loading package std_logic_1164
83
# -- Loading package std_logic_arith
84
# -- Loading package std_logic_unsigned
85
# -- Loading package numeric_std
86
# -- Compiling entity generic_counter
87
# -- Compiling architecture arch01 of generic_counter
88
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
89
# -- Loading package standard
90
# -- Loading package std_logic_1164
91
# -- Loading package math_real
92
# -- Compiling package ctrl_pkg
93
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
94
# -- Loading package standard
95
# -- Loading package std_logic_1164
96
# -- Loading package std_logic_arith
97
# -- Loading package std_logic_unsigned
98
# -- Loading package numeric_std
99
# -- Loading package math_real
100
# -- Loading package ctrl_pkg
101
# -- Compiling entity ctrl_memory_writer
102
# -- Compiling architecture arch12 of ctrl_memory_writer
103
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
104
# -- Loading package standard
105
# -- Loading package std_logic_1164
106
# -- Loading package numeric_std
107
# -- Loading package math_real
108
# -- Compiling entity generic_decoder
109
# -- Compiling architecture beh of generic_decoder
110
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
111
# -- Loading package standard
112
# -- Loading package std_logic_1164
113
# -- Loading package numeric_std
114
# -- Loading package math_real
115
# -- Loading package ctrl_pkg
116
# -- Compiling entity ctrl_data_skipper
117
# -- Compiling architecture arch10 of ctrl_data_skipper
118
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
119
# -- Loading package standard
120
# -- Loading package std_logic_1164
121
# -- Loading package numeric_std
122
# -- Loading package math_real
123
# -- Compiling entity ctrl_channel_selector
124
# -- Compiling architecture arch01 of ctrl_channel_selector
125
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
126
# -- Loading package standard
127
# -- Loading package std_logic_1164
128
# -- Loading package numeric_std
129
# -- Compiling entity ctrl_trigger_manager
130
# -- Compiling architecture arch01_trigger of ctrl_trigger_manager
131
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
132
# -- Loading package standard
133
# -- Loading package std_logic_1164
134
# -- Loading package std_logic_arith
135
# -- Loading package std_logic_unsigned
136
# -- Compiling entity ctrl_address_allocation
137
# -- Compiling architecture arch01 of ctrl_address_allocation
138
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
139
# -- Loading package standard
140
# -- Loading package std_logic_1164
141
# -- Loading package std_logic_arith
142
# -- Loading package std_logic_unsigned
143
# -- Loading package math_real
144
# -- Loading package ctrl_pkg
145
# -- Compiling entity ctrl
146
# -- Compiling architecture wsm of ctrl
147
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
148
# -- Loading package standard
149
# -- Loading package std_logic_1164
150
# -- Compiling package daq_pkg
151
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
152
# -- Loading package standard
153
# -- Loading package std_logic_1164
154
# -- Loading package math_real
155
# -- Compiling package memory_pkg
156
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
157
# -- Loading package standard
158
# -- Loading package std_logic_1164
159
# -- Loading package std_logic_arith
160
# -- Loading package std_logic_unsigned
161
# -- Loading package math_real
162
# -- Loading package ctrl_pkg
163
# -- Loading package daq_pkg
164
# -- Loading package memory_pkg
165
# -- Loading package eppwbn_pkg
166
# -- Compiling entity modular_oscilloscope
167
# -- Compiling architecture struc1 of modular_oscilloscope
168
# -- Loading entity a3pe_pll_2clk
169
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
170
# -- Loading package standard
171
# -- Loading package std_logic_1164
172
# -- Loading package math_real
173
# -- Compiling entity tb_simple_clock
174
# -- Compiling architecture beh of tb_simple_clock
175
# -- Loading package std_logic_arith
176
# -- Loading package std_logic_unsigned
177
# -- Compiling entity virtual_adc
178
# -- Compiling architecture beh of virtual_adc
179
# -- Loading package ctrl_pkg
180
# -- Loading package daq_pkg
181
# -- Loading package memory_pkg
182
# -- Loading package eppwbn_pkg
183
# -- Compiling entity stimulus
184
# -- Compiling architecture stimulator of stimulus
185
# -- Loading entity tb_simple_clock
186
# -- Loading entity virtual_adc
187
# -- Compiling entity testbench
188
# -- Compiling architecture tbgeneratedcode of testbench
189
# -- Loading entity stimulus
190
# -- Loading entity modular_oscilloscope
191
# vsim -L proasic3e -L presynth -t 1ps presynth.testbench
192
# //  ModelSim ACTEL 6.4a Aug 29 2008
193
# //
194
# //  Copyright 1991-2008 Mentor Graphics Corporation
195
# //              All Rights Reserved.
196
# //
197
# //  THIS WORK CONTAINS TRADE SECRET AND
198
# //  PROPRIETARY INFORMATION WHICH IS THE PROPERTY
199
# //  OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS
200
# //  AND IS SUBJECT TO LICENSE TERMS.
201
# //
202
# Loading std.standard
203
# Loading ieee.std_logic_1164(body)
204
# Loading ieee.std_logic_arith(body)
205
# Loading ieee.std_logic_unsigned(body)
206
# Loading ieee.math_real(body)
207
# Loading presynth.ctrl_pkg
208
# Loading presynth.daq_pkg
209
# Loading presynth.memory_pkg
210
# Loading presynth.eppwbn_pkg
211
# Loading presynth.testbench(tbgeneratedcode)
212
# Loading presynth.stimulus(stimulator)
213
# Loading presynth.tb_simple_clock(beh)
214
# Loading presynth.virtual_adc(beh)
215
# Loading presynth.modular_oscilloscope(struc1)
216
# Loading ieee.numeric_std(body)
217
# Loading presynth.daq(archdaq2)
218
# Loading presynth.eppwbn_16bit(structural)
219
# Loading presynth.eppwbn(structural)
220
# Loading presynth.eppwbn_ctrl(state_machines)
221
# Loading presynth.eppwbn_epp_side(multiplexor)
222
# Loading presynth.eppwbn_wbn_side(bridge2)
223
# Loading presynth.eppwbn_width_extension(arch_0)
224
# Loading presynth.ctrl(wsm)
225
# Loading presynth.ctrl_output_manager(arch22)
226
# Loading presynth.ctrl_memory_writer(arch12)
227
# Loading presynth.generic_counter(arch01)
228
# Loading presynth.ctrl_data_skipper(arch10)
229
# Loading presynth.generic_decoder(beh)
230
# Loading presynth.ctrl_channel_selector(arch01)
231
# Loading presynth.ctrl_trigger_manager(arch01_trigger)
232
# Loading presynth.ctrl_address_allocation(arch01)
233
# Loading presynth.dual_port_memory_wb(arch01)
234
# Loading presynth.dual_port_memory(def_arch)
235
# Loading std.textio(body)
236
# Loading ieee.vital_timing(body)
237
# Loading ieee.vital_primitives(body)
238
# Loading proasic3e.vtables
239
# Loading proasic3e.vcc(vital_act)
240
# Loading proasic3e.gnd(vital_act)
241
# Loading proasic3e.buff(vital_act)
242
# Loading ieee.std_logic_textio(body)
243
# Loading proasic3e.ram4k9(vital_act)
244
# Loading proasic3e.or2(vital_act)
245
# Loading proasic3e.mx2(vital_act)
246
# Loading proasic3e.nand2(vital_act)
247
# Loading proasic3e.dfn1(vital_act)
248
# Loading proasic3e.inv(vital_act)
249
# Loading proasic3e.and2a(vital_act)
250
# Loading proasic3e.nor2(vital_act)
251
# Loading proasic3e.and2(vital_act)
252
# Loading presynth.a3pe_pll_2clk(def_arch)
253
# Loading proasic3e.components
254
# Loading proasic3e.pll(vital_act)
255
# Loading proasic3e.pllprim(vital_act)
256
# Loading proasic3e.pllint(vital_act)
257
# ** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
258
#           File in use by: Administrador  Hostname: VIRTUAL-BUDI  ProcessID: 1212
259
#           Attempting to use alternate WLF file "./wlftz2kb2e".
260
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
261
#           Using alternate file: ./wlftz2kb2e
262
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
263
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
264
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
265
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
266
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
267
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_chsel0
268
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
269
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
270
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
271
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
272
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
273
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
274
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
275
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
276
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
277
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
278
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
279
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
280
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
281
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
282
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
283
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
284
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
285
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
286
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
287
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
288
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
289
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
290
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
291
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
292
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
293
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
294
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
295
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
296
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
297
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
298
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
299
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
300
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
301
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
302
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
303
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
304
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
305
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
306
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
307
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
308
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
309
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
310
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
311
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
312
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
313
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
314
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
315
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
316
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
317
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
318
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
319
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
320
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
321
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
322
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
323
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
324
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
325
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
326
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
327
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
328
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
329
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
330
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
331
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
332
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
333
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_memwr0
334
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
335
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_memwr0
336
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
337
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_outmgr0
338
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
339
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_outmgr0
340
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
341
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_epp16/u_eppwbn8/u_eppwbn
342
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
343
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_epp16/u_eppwbn8/u_eppwbn
344
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
345
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
346
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
347
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
348
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
349
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
350
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
351
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
352
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
353
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
354
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
355
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
356
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
357
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
358
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
359
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
360
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
361
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
362
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
363
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
364
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
365
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
366
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
367
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
368
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
369
#    Time: 0 ps  Iteration: 1  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_outmgr0
370
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
371
#    Time: 0 ps  Iteration: 1  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
372
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
373
#    Time: 0 ps  Iteration: 1  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
374
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
375
#    Time: 0 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
376
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
377
#    Time: 0 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
378
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
379
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
380
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
381
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
382
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
383
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
384
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
385
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
386
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
387
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
388
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
389
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
390
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
391
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
392
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
393
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
394
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
395
#    Time: 0 ps  Iteration: 4  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
396
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
397
#    Time: 0 ps  Iteration: 4  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
398
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
399
#    Time: 0 ps  Iteration: 5  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
400
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
401
#    Time: 0 ps  Iteration: 5  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
402
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
403
#    Time: 400 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
404
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
405
#    Time: 400 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
406
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
407
#    Time: 500 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
408
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
409
#    Time: 500 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
410
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
411
#    Time: 600 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
412
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
413
#    Time: 600 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
414
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
415
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
416
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
417
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
418
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
419
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
420
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
421
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
422
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
423
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
424
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
425
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
426
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
427
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
428
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
429
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
430
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
431
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
432
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
433
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
434
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
435
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
436
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
437
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
438
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
439
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
440
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
441
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
442
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
443
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
444
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
445
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
446
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
447
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
448
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
449
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
450
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
451
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
452
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
453
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
454
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
455
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
456
do run.do
457
# INFO: Simulation library presynth already exists
458
# Modifying modelsim.ini
459
# Modifying modelsim.ini
460
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
461
# -- Loading package standard
462
# -- Loading package std_logic_1164
463
# -- Loading package std_logic_arith
464
# -- Loading package std_logic_unsigned
465
# -- Loading package numeric_std
466
# -- Compiling entity daq
467
# -- Compiling architecture archdaq2 of daq
468
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
469
# -- Loading package standard
470
# -- Loading package std_logic_1164
471
# -- Compiling entity dual_port_memory
472
# -- Compiling architecture def_arch of dual_port_memory
473
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
474
# -- Loading package standard
475
# -- Loading package std_logic_1164
476
# -- Compiling entity dual_port_memory_wb
477
# -- Compiling architecture arch01 of dual_port_memory_wb
478
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
479
# -- Loading package standard
480
# -- Loading package std_logic_1164
481
# -- Compiling entity a3pe_pll_2clk
482
# -- Compiling architecture def_arch of a3pe_pll_2clk
483
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
484
# -- Loading package standard
485
# -- Loading package std_logic_1164
486
# -- Compiling entity eppwbn_ctrl
487
# -- Compiling architecture state_machines of eppwbn_ctrl
488
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
489
# -- Loading package standard
490
# -- Loading package std_logic_1164
491
# -- Compiling entity eppwbn_epp_side
492
# -- Compiling architecture multiplexor of eppwbn_epp_side
493
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
494
# -- Loading package standard
495
# -- Loading package std_logic_1164
496
# -- Loading package numeric_std
497
# -- Loading package std_logic_arith
498
# -- Loading package std_logic_unsigned
499
# -- Compiling entity eppwbn_wbn_side
500
# -- Compiling architecture bridge2 of eppwbn_wbn_side
501
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
502
# -- Loading package standard
503
# -- Loading package std_logic_1164
504
# -- Compiling package eppwbn_pkg
505
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
506
# -- Loading package standard
507
# -- Loading package std_logic_1164
508
# -- Loading package eppwbn_pkg
509
# -- Compiling entity eppwbn
510
# -- Compiling architecture structural of eppwbn
511
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
512
# -- Loading package standard
513
# -- Loading package std_logic_1164
514
# -- Loading package std_logic_arith
515
# -- Loading package std_logic_unsigned
516
# -- Loading package numeric_std
517
# -- Loading package eppwbn_pkg
518
# -- Compiling entity eppwbn_width_extension
519
# -- Compiling architecture arch_0 of eppwbn_width_extension
520
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
521
# -- Loading package standard
522
# -- Loading package std_logic_1164
523
# -- Loading package eppwbn_pkg
524
# -- Compiling entity eppwbn_16bit
525
# -- Compiling architecture structural of eppwbn_16bit
526
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
527
# -- Loading package standard
528
# -- Loading package std_logic_1164
529
# -- Loading package std_logic_arith
530
# -- Loading package std_logic_unsigned
531
# -- Loading package numeric_std
532
# -- Compiling entity ctrl_output_manager
533
# -- Compiling architecture arch22 of ctrl_output_manager
534
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
535
# -- Loading package standard
536
# -- Loading package std_logic_1164
537
# -- Loading package std_logic_arith
538
# -- Loading package std_logic_unsigned
539
# -- Loading package numeric_std
540
# -- Compiling entity generic_counter
541
# -- Compiling architecture arch01 of generic_counter
542
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
543
# -- Loading package standard
544
# -- Loading package std_logic_1164
545
# -- Loading package math_real
546
# -- Compiling package ctrl_pkg
547
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
548
# -- Loading package standard
549
# -- Loading package std_logic_1164
550
# -- Loading package std_logic_arith
551
# -- Loading package std_logic_unsigned
552
# -- Loading package numeric_std
553
# -- Loading package math_real
554
# -- Loading package ctrl_pkg
555
# -- Compiling entity ctrl_memory_writer
556
# -- Compiling architecture arch12 of ctrl_memory_writer
557
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
558
# -- Loading package standard
559
# -- Loading package std_logic_1164
560
# -- Loading package numeric_std
561
# -- Loading package math_real
562
# -- Compiling entity generic_decoder
563
# -- Compiling architecture beh of generic_decoder
564
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
565
# -- Loading package standard
566
# -- Loading package std_logic_1164
567
# -- Loading package numeric_std
568
# -- Loading package math_real
569
# -- Loading package ctrl_pkg
570
# -- Compiling entity ctrl_data_skipper
571
# -- Compiling architecture arch10 of ctrl_data_skipper
572
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
573
# -- Loading package standard
574
# -- Loading package std_logic_1164
575
# -- Loading package numeric_std
576
# -- Loading package math_real
577
# -- Compiling entity ctrl_channel_selector
578
# -- Compiling architecture arch01 of ctrl_channel_selector
579
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
580
# -- Loading package standard
581
# -- Loading package std_logic_1164
582
# -- Loading package numeric_std
583
# -- Compiling entity ctrl_trigger_manager
584
# -- Compiling architecture arch01_trigger of ctrl_trigger_manager
585
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
586
# -- Loading package standard
587
# -- Loading package std_logic_1164
588
# -- Loading package std_logic_arith
589
# -- Loading package std_logic_unsigned
590
# -- Compiling entity ctrl_address_allocation
591
# -- Compiling architecture arch01 of ctrl_address_allocation
592
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
593
# -- Loading package standard
594
# -- Loading package std_logic_1164
595
# -- Loading package std_logic_arith
596
# -- Loading package std_logic_unsigned
597
# -- Loading package math_real
598
# -- Loading package ctrl_pkg
599
# -- Compiling entity ctrl
600
# -- Compiling architecture wsm of ctrl
601
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
602
# -- Loading package standard
603
# -- Loading package std_logic_1164
604
# -- Compiling package daq_pkg
605
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
606
# -- Loading package standard
607
# -- Loading package std_logic_1164
608
# -- Loading package math_real
609
# -- Compiling package memory_pkg
610
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
611
# -- Loading package standard
612
# -- Loading package std_logic_1164
613
# -- Loading package std_logic_arith
614
# -- Loading package std_logic_unsigned
615
# -- Loading package math_real
616
# -- Loading package ctrl_pkg
617
# -- Loading package daq_pkg
618
# -- Loading package memory_pkg
619
# -- Loading package eppwbn_pkg
620
# -- Compiling entity modular_oscilloscope
621
# -- Compiling architecture struc1 of modular_oscilloscope
622
# -- Loading entity a3pe_pll_2clk
623
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
624
# -- Loading package standard
625
# -- Loading package std_logic_1164
626
# -- Loading package math_real
627
# -- Compiling entity tb_simple_clock
628
# -- Compiling architecture beh of tb_simple_clock
629
# -- Loading package std_logic_arith
630
# -- Loading package std_logic_unsigned
631
# -- Compiling entity virtual_adc
632
# -- Compiling architecture beh of virtual_adc
633
# -- Loading package ctrl_pkg
634
# -- Loading package daq_pkg
635
# -- Loading package memory_pkg
636
# -- Loading package eppwbn_pkg
637
# -- Compiling entity stimulus
638
# -- Compiling architecture stimulator of stimulus
639
# -- Loading entity tb_simple_clock
640
# -- Loading entity virtual_adc
641
# -- Compiling entity testbench
642
# -- Compiling architecture tbgeneratedcode of testbench
643
# -- Loading entity stimulus
644
# -- Loading entity modular_oscilloscope
645
# vsim -L proasic3e -L presynth -t 1ps presynth.testbench
646
# Loading std.standard
647
# Loading ieee.std_logic_1164(body)
648
# Loading ieee.std_logic_arith(body)
649
# Loading ieee.std_logic_unsigned(body)
650
# Loading ieee.math_real(body)
651
# Loading presynth.ctrl_pkg
652
# Loading presynth.daq_pkg
653
# Loading presynth.memory_pkg
654
# Loading presynth.eppwbn_pkg
655
# Loading presynth.testbench(tbgeneratedcode)
656
# Loading presynth.stimulus(stimulator)
657
# Loading presynth.tb_simple_clock(beh)
658
# Loading presynth.virtual_adc(beh)
659
# Loading presynth.modular_oscilloscope(struc1)
660
# Loading ieee.numeric_std(body)
661
# Loading presynth.daq(archdaq2)
662
# Loading presynth.eppwbn_16bit(structural)
663
# Loading presynth.eppwbn(structural)
664
# Loading presynth.eppwbn_ctrl(state_machines)
665
# Loading presynth.eppwbn_epp_side(multiplexor)
666
# Loading presynth.eppwbn_wbn_side(bridge2)
667
# Loading presynth.eppwbn_width_extension(arch_0)
668
# Loading presynth.ctrl(wsm)
669
# Loading presynth.ctrl_output_manager(arch22)
670
# Loading presynth.ctrl_memory_writer(arch12)
671
# Loading presynth.generic_counter(arch01)
672
# Loading presynth.ctrl_data_skipper(arch10)
673
# Loading presynth.generic_decoder(beh)
674
# Loading presynth.ctrl_channel_selector(arch01)
675
# Loading presynth.ctrl_trigger_manager(arch01_trigger)
676
# Loading presynth.ctrl_address_allocation(arch01)
677
# Loading presynth.dual_port_memory_wb(arch01)
678
# Loading presynth.dual_port_memory(def_arch)
679
# Loading std.textio(body)
680
# Loading ieee.vital_timing(body)
681
# Loading ieee.vital_primitives(body)
682
# Loading proasic3e.vtables
683
# Loading proasic3e.vcc(vital_act)
684
# Loading proasic3e.gnd(vital_act)
685
# Loading proasic3e.buff(vital_act)
686
# Loading ieee.std_logic_textio(body)
687
# Loading proasic3e.ram4k9(vital_act)
688
# Loading proasic3e.or2(vital_act)
689
# Loading proasic3e.mx2(vital_act)
690
# Loading proasic3e.nand2(vital_act)
691
# Loading proasic3e.dfn1(vital_act)
692
# Loading proasic3e.inv(vital_act)
693
# Loading proasic3e.and2a(vital_act)
694
# Loading proasic3e.nor2(vital_act)
695
# Loading proasic3e.and2(vital_act)
696
# Loading presynth.a3pe_pll_2clk(def_arch)
697
# Loading proasic3e.components
698
# Loading proasic3e.pll(vital_act)
699
# Loading proasic3e.pllprim(vital_act)
700
# Loading proasic3e.pllint(vital_act)
701
# ** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
702
#           File in use by: Administrador  Hostname: VIRTUAL-BUDI  ProcessID: 1212
703
#           Attempting to use alternate WLF file "./wlftg67x3y".
704
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
705
#           Using alternate file: ./wlftg67x3y
706
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
707
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
708
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
709
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
710
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
711
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_chsel0
712
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
713
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
714
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
715
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
716
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
717
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
718
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
719
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
720
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
721
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
722
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
723
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
724
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
725
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
726
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
727
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
728
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
729
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
730
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
731
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
732
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
733
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
734
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
735
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
736
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
737
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
738
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
739
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
740
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
741
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
742
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
743
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
744
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
745
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
746
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
747
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
748
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
749
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
750
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
751
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
752
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
753
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
754
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
755
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
756
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
757
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
758
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
759
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
760
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
761
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
762
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
763
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
764
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
765
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
766
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
767
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
768
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
769
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
770
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
771
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
772
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
773
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
774
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
775
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
776
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
777
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_memwr0
778
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
779
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_memwr0
780
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
781
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_outmgr0
782
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
783
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_outmgr0
784
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
785
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_epp16/u_eppwbn8/u_eppwbn
786
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
787
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_epp16/u_eppwbn8/u_eppwbn
788
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
789
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
790
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
791
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
792
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
793
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
794
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
795
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
796
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
797
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
798
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
799
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
800
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
801
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
802
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
803
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
804
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
805
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
806
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
807
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
808
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
809
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
810
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
811
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
812
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
813
#    Time: 0 ps  Iteration: 1  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_outmgr0
814
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
815
#    Time: 0 ps  Iteration: 1  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
816
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
817
#    Time: 0 ps  Iteration: 1  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
818
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
819
#    Time: 0 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
820
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
821
#    Time: 0 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
822
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
823
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
824
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
825
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
826
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
827
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
828
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
829
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
830
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
831
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
832
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
833
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
834
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
835
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
836
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
837
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
838
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
839
#    Time: 0 ps  Iteration: 4  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
840
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
841
#    Time: 0 ps  Iteration: 4  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
842
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
843
#    Time: 0 ps  Iteration: 5  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
844
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
845
#    Time: 0 ps  Iteration: 5  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
846
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
847
#    Time: 400 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
848
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
849
#    Time: 400 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
850
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
851
#    Time: 500 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
852
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
853
#    Time: 500 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
854
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
855
#    Time: 600 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
856
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
857
#    Time: 600 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
858
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
859
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
860
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
861
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
862
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
863
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
864
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
865
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
866
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
867
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
868
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
869
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
870
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
871
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
872
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
873
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
874
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
875
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
876
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
877
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
878
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
879
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
880
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
881
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
882
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
883
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
884
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
885
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
886
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
887
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
888
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
889
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
890
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
891
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
892
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
893
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
894
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
895
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
896
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
897
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
898
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
899
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.