OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [daq/] [daq_pkg.vhd] - Blame information for rev 56

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 56 budinero
----------------------------------------------------------------------------------------------------
2
--| Modular Oscilloscope
3
--| UNSL - Argentine
4
--|
5
--| File: daq_pkg.vhd
6
--| Version: 0.01
7
--| Tested in: Actel A3PE1500
8
--|-------------------------------------------------------------------------------------------------
9
--| Description:
10
--|   Adquisition control module.
11
--|       Package for instantiate all adq modules.
12
--|-------------------------------------------------------------------------------------------------
13
--| File history:
14
--|   0.01  | apr-2009 | First release
15
----------------------------------------------------------------------------------------------------
16
--| Copyright © 2009, Facundo Aguilera.
17
--|
18
--| This VHDL design file is an open design; you can redistribute it and/or
19
--| modify it and/or implement it after contacting the author.
20
----------------------------------------------------------------------------------------------------
21
 
22
 
23
-- Bloque completo
24
library IEEE;
25
use IEEE.STD_LOGIC_1164.ALL;
26
 
27
package daq_pkg is
28
        --------------------------------------------------------------------------------------------------
29
        -- Componentes  
30
 
31
  component daq is
32
    generic (
33
    DEFALT_CONFIG : std_logic_vector := "0000100000000000"
34
                                      -- bits 8 a 0       clk_pre_scaler
35
                                      -- bits 9           clk_pre_scaler_ena
36
                                      -- bit 10           adc sleep
37
                                      -- bit 11           adc_chip_sel
38
                                      -- bits 12 a 15     sin usar
39
 
40
                                      -- si clk_pre_scaler_ena = 1
41
                                      -- frecuencia_adc = frecuencia_wbn / ((clk_pre_scaler+1)*2)
42
                                      -- sino frecuencia_adc = frecuencia_wbn
43
  );
44
  port(
45
    -- Externo
46
    adc_data_I:     in    std_logic_vector (9 downto 0);
47
    adc_sel_O:      out   std_logic;
48
    adc_clk_O:      out   std_logic;
49
    adc_sleep_O:    out   std_logic;
50
    adc_chip_sel_O: out   std_logic;
51
 
52
 
53
    --  Interno
54
    RST_I:  in  std_logic;
55
    CLK_I:  in  std_logic;
56
    DAT_I:  in  std_logic_vector (15 downto 0);
57
    ADR_I:  in  std_logic_vector (1 downto 0);
58
    CYC_I:  in  std_logic;
59
    STB_I:  in  std_logic;
60
    WE_I:   in  std_logic;
61
    DAT_O:  out std_logic_vector (15 downto 0);
62
    ACK_O:  out std_logic;
63
 
64
    adc_clk_I: std_logic
65
    );
66
  end component daq;
67
 
68
end package daq_pkg;
69
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.