OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn.vhd] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 budinero
--|-----------------------------------------------------------------------------
2
--| UNSL - Modular Oscilloscope
3
--|
4
--| File: eppwbn_wbn_side.vhd
5
--| Version: 0.10
6
--| Targeted device: Actel A3PE1500 
7
--|-----------------------------------------------------------------------------
8
--| Description:
9
--|   EPP - Wishbone bridge. 
10
--|       This instantiate all the other modules. The TOP
11
--------------------------------------------------------------------------------
12
--| File history:
13
--|   0.01  | dic-2008 | First testing release
14
--------------------------------------------------------------------------------
15
--| Copyright Facundo Aguilera 2008
16
--| GPL
17
 
18
 
19 5 budinero
-- Bloque completo
20
 
21 10 budinero
library IEEE;
22
use IEEE.STD_LOGIC_1164.all;
23
use work.eppwbn_pgk.all;
24
 
25 6 budinero
entity eppwbn is
26 5 budinero
port(
27
        -- Externo
28 10 budinero
        nStrobe: in std_logic;                                                                                  -- Nomenclatura IEEE Std. 1284 
29
                                                                                                                                                                                        -- HostClk/nWrite 
30
        Data: inout std_logic_vector (7 downto 0);       -- AD8..1 (Data1..Data8)
31
        nAck: out std_logic;                                                                                            --  PtrClk/PeriphClk/Intr
32
        busy: out std_logic;                                                                                            --  PtrBusy/PeriphAck/nWait
33
        PError: out std_logic;                                                                                  --  AckData/nAckReverse
34
        Sel: out std_logic;                                                                                             --  XFlag (Select)
35
        nAutoFd: in std_logic;                                                                                  --  HostBusy/HostAck/nDStrb
36
        PeriphLogicH: out std_logic;                                                            --  (Periph Logic High)
37
        nInit: in std_logic;                                                                                            --  nReverseRequest
38
        nFault: out std_logic;                                                                                  --  nDataAvail/nPeriphRequest
39
        nSelectIn: in std_logic;                                                                                --  1284 Active/nAStrb
40
 
41 5 budinero
        --  Interno
42
        RST_I: in std_logic;
43
        CLK_I: in std_logic;
44 10 budinero
        DAT_I: in std_logic_vector (7 downto 0);
45
        DAT_O: out std_logic_vector (7 downto 0);
46
        ADR_O: out std_logic_vector (7 downto 0);
47
        CYC_O: out std_logic;
48
        STB_O: out std_logic;
49
        ACK_I: in std_logic ;
50
        WE_O: out std_logic
51 5 budinero
        );
52
end eppwbn;
53
 
54
 
55 10 budinero
architecture structural of eppwbn is
56
  ------------------------------------------------------------------------------
57
        -- Señales
58
        signal s_epp_mode: std_logic_vector (1 downto 0);
59
  signal s_rst_pp: std_logic;
60 9 budinero
 
61 10 budinero
  signal s_wb_Busy:       std_logic;
62
  signal s_wb_nAutoFd:    std_logic;
63
  signal s_wb_nSelectIn:  std_logic;
64
  signal s_wb_nStrobe:    std_logic;
65
 
66
  signal s_ctr_nAck:   std_logic;
67
  signal s_ctr_PError: std_logic;
68
  signal s_ctr_Sel:    std_logic;
69
  signal s_ctr_nFault: std_logic;
70 9 budinero
 
71 10 budinero
  signal s_ctr_nAutoFd:    std_logic;
72
  signal s_ctr_nSelectIn:  std_logic;
73
  signal s_ctr_nStrobe:    std_logic;
74 9 budinero
 
75
 
76
 
77 10 budinero
 
78 9 budinero
 
79 6 budinero
begin
80
 
81 10 budinero
        -- Conexión del módulo de control
82
        U1:  eppwbn_ctrl
83
                port map (
84
                        nStrobe => s_ctr_nStrobe,
85
                        Data => Data,
86
                        nAck => s_ctr_nAck,
87
                        PError => s_ctr_PError,
88
                        Sel => s_ctr_Sel,
89
                        nAutoFd => s_ctr_nAutoFd,
90
                        PeriphLogicH => PeriphLogicH,
91
                        nInit => nInit,
92
                        nFault => s_ctr_nFault,
93
                        nSelectIn => s_ctr_nSelectIn,
94
 
95
                        RST_I => RST_I,
96
                        CLK_I => CLK_I,
97
 
98
                        rst_pp => s_rst_pp,
99
                        epp_mode => s_epp_mode
100
        );
101 6 budinero
 
102 10 budinero
                        -- Conexión de módulo multiplexor
103
        U2:  eppwbn_epp_side
104
                port map (
105
                        epp_mode => s_epp_mode,
106
 
107
                        ctr_nAck => s_ctr_nAck,
108
                        ctr_PError => s_ctr_PError,
109
                        ctr_Sel => s_ctr_Sel,
110
                        ctr_nFault => s_ctr_nFault,
111
 
112
                        ctr_nAutoFd => s_ctr_nAutoFd,
113
                        ctr_nSelectIn => s_ctr_nSelectIn,
114
                        ctr_nStrobe=> s_ctr_nStrobe,
115
 
116
                        wb_Busy => s_wb_Busy,
117
                        wb_nAutoFd => s_wb_nAutoFd,
118
                        wb_nSelectIn => s_wb_nSelectIn,
119
                        wb_nStrobe => s_wb_nStrobe,
120
 
121
                        nAck => nAck,
122
                        PError => PError,
123
                        Sel => Sel,
124
                        nFault => nFault,
125
 
126
                        Busy => Busy,
127
                        nAutoFd => nAutoFd,
128
                        nSelectIn => nSelectIn,
129
                        nStrobe => nStrobe
130
        );
131
 
132
        -- Conexión del módulo de comunicación con interfaz wishbone
133
        U3:  eppwbn_wbn_side
134
                port map(
135
                        inStrobe => s_wb_nStrobe,
136
                        iData => Data,
137
                        iBusy => s_wb_Busy,
138
                        inAutoFd => s_wb_nAutoFd,
139
                        inSelectIn => s_wb_nSelectIn,
140
 
141
                        RST_I => RST_I,
142
                        CLK_I => CLK_I,
143
                        DAT_I => DAT_I,
144
                        DAT_O => DAT_O,
145
                        ADR_O => ADR_O,
146
                        CYC_O => CYC_O,
147
                        STB_O => STB_O,
148
                        ACK_I => ACK_I,
149
                        WE_O => WE_O,
150
 
151
                        rst_pp => s_rst_pp
152
                );
153
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.