OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn.vhd] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 budinero
-- eppwbn.vhd
2 5 budinero
-- Bloque completo
3
 
4 6 budinero
entity eppwbn is
5 5 budinero
port(
6
        -- Externo
7
        nStrobe: in std_logic;                                          -- Nomenclatura IEEE Std. 1284 ECP/EPP (Compatibiliy)
8
                                                                                                -- HostClk/nWrite 
9
        Data: inout std_logic_vector (7 downto 0);       --AD8..1 (Data1..Data8)
10
        nAck: out std_logic;                                            --  PtrClk/PeriphClk/Intr
11
        busy: out std_logic;                                            --  PtrBusy/PeriphAck/nWait
12
        PError: out std_logic;                                          --  AckData/nAckReverse
13
        Sel: out std_logic;                                             --  XFlag (Select)
14
        nAutoFd: in std_logic;                                          --  HostBusy/HostAck/nDStrb
15
        PeriphLogicH: out std_logic;                            --  (Periph Logic High)
16
        nInit: in std_logic;                                            --  nReverseRequest
17
        nFault: out std_logic;                                          --  nDataAvail/nPeriphRequest
18
        nSelectIn: in std_logic;                                        --  1284 Active/nAStrb
19
        HostLogicH: in std_logic;                                       --  (Host Logic High)
20
 
21
        --  Interno
22
        RST_I: in std_logic;
23
        CLK_I: in std_logic;
24
        DAT_I: in std_logic_vector (15 downto 0);
25
        ADR_I: in std_logic_vector (15 downto 0);
26
        DAT_O: out std_logic_vector (15 downto 0);
27
        ADR_O: out std_logic_vector (15 downto 0);
28
        CYC_I: in std_logic;
29
        ACK_O: out std_logic;
30
        WE_I: in std_logic;
31
        );
32
end eppwbn;
33
 
34
 
35 6 budinero
architecture wbn16epp8 of eppwbn
36
 
37
begin
38
 
39
 

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.