OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn.vhd] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 budinero
-- eppwbn.vhd
2 5 budinero
-- Bloque completo
3
 
4 6 budinero
entity eppwbn is
5 5 budinero
port(
6
        -- Externo
7
        nStrobe: in std_logic;                                          -- Nomenclatura IEEE Std. 1284 ECP/EPP (Compatibiliy)
8
                                                                                                -- HostClk/nWrite 
9
        Data: inout std_logic_vector (7 downto 0);       --AD8..1 (Data1..Data8)
10
        nAck: out std_logic;                                            --  PtrClk/PeriphClk/Intr
11
        busy: out std_logic;                                            --  PtrBusy/PeriphAck/nWait
12
        PError: out std_logic;                                          --  AckData/nAckReverse
13
        Sel: out std_logic;                                             --  XFlag (Select)
14
        nAutoFd: in std_logic;                                          --  HostBusy/HostAck/nDStrb
15
        PeriphLogicH: out std_logic;                            --  (Periph Logic High)
16
        nInit: in std_logic;                                            --  nReverseRequest
17
        nFault: out std_logic;                                          --  nDataAvail/nPeriphRequest
18
        nSelectIn: in std_logic;                                        --  1284 Active/nAStrb
19
        HostLogicH: in std_logic;                                       --  (Host Logic High)
20
 
21
        --  Interno
22
        RST_I: in std_logic;
23
        CLK_I: in std_logic;
24
        DAT_I: in std_logic_vector (15 downto 0);
25
        ADR_I: in std_logic_vector (15 downto 0);
26
        DAT_O: out std_logic_vector (15 downto 0);
27
        ADR_O: out std_logic_vector (15 downto 0);
28
        CYC_I: in std_logic;
29
        ACK_O: out std_logic;
30
        WE_I: in std_logic;
31
        );
32
end eppwbn;
33
 
34
 
35 6 budinero
architecture wbn16epp8 of eppwbn
36
 
37 9 budinero
  entity eppwbn_ctrl is
38
    port(
39
      nStrobe: in std_logic;                  -- Nomenclatura IEEE Std. 1284-2000, 
40
      Data: in std_logic_vector (7 downto 0); -- AD8..1/AD8..1 (Data1..Data8)
41
      nAck: out std_logic;                    -- PtrClk/PeriphClk/Intr
42
      PError: out std_logic;                  -- AckData/nAckReverse
43
      Sel: out std_logic;                     -- XFlag (Select). Select no puede usarse
44
      nAutoFd: in std_logic;                  -- HostBusy/HostAck/nDStrb
45
      PeriphLogicH: out std_logic;            -- (Periph Logic High)
46
      nInit: in std_logic;                    -- nReverseRequest
47
      nFault: out std_logic;                  -- nDataAvail/nPeriphRequest
48
      nSelectIn: in std_logic;                -- 1284 Active/nAStrb
49
 
50
      RST_I: in std_logic;
51
      CLK_I: in std_logic;
52
 
53
      rst_pp: out std_logic;  -- generador de reset desde la interfaz del puerto paralelo
54
      epp_mode: out std_logic_vector (1 downto 0) -- indicador de modo de comunicaci?n epp
55
    );
56
  end entity eppwbn_ctrl;
57
 
58
  entity eppwbn_epp_side is
59
    port(
60
      epp_mode: in std_logic_vector (1 downto 0);-- indicador de modo de comunicaci?n epp
61
 
62
      ctr_nAck:   in std_logic;                  -- PtrClk/PeriphClk/Intr
63
      ctr_PError: in std_logic;                  -- AckData/nAckReverse
64
      ctr_Sel:    in std_logic;                  -- XFlag (Select). Select no puede usarse
65
      ctr_nFault: in std_logic;                  -- nDataAvail/nPeriphRequest
66
 
67
      ctr_nAutoFd:    out std_logic;               -- HostBusy/HostAck/nDStrb
68
      ctr_nSelectIn:  out std_logic;               -- 1284 Active/nAStrb
69
      ctr_nStrobe:    out std_logic;               -- HostClk/nWrite
70
 
71
      wb_Busy:       in std_logic;              -- PtrBusy/PeriphAck/nWait
72
      wb_nAutoFd:    out std_logic;               -- HostBusy/HostAck/nDStrb
73
      wb_nSelectIn:  out std_logic;               -- 1284 Active/nAStrb
74
      wb_nStrobe:    out std_logic;               -- HostClk/nWrite
75
 
76
      nAck:   out std_logic;                  -- PtrClk/PeriphClk/Intr
77
      PError: out std_logic;                  -- AckData/nAckReverse
78
      Sel:    out std_logic;                  -- XFlag (Select). Select no puede usarse
79
      nFault: out std_logic;                  -- nDataAvail/nPeriphRequest
80
 
81
      Busy:      out std_logic;                 -- PtrBusy/PeriphAck/nWait
82
      nAutoFd:   in std_logic;                  -- HostBusy/HostAck/nDStrb
83
      nSelectIn: in std_logic;                  -- 1284 Active/nAStrb
84
      nStrobe:   in std_logic                  -- HostClk/nWrite
85
    );
86
  end entity eppwbn_epp_side;
87
 
88
  entity eppwbn_wbn_side is
89
    port(
90
      inStrobe: in std_logic;                                                                           -- HostClk/nWrite 
91
      iData: inout std_logic_vector (7 downto 0); -- AD8..1/AD8..1 (Data1..Data8)
92
      iBusy: out std_logic;                                                                                     -- PtrBusy/PeriphAck/nWait
93
      inAutoFd: in std_logic;                                                                           -- HostBusy/HostAck/nDStrb
94
      inSelectIn: in std_logic;                                                                         -- 1284 Active/nAStrb
95
 
96
      RST_I: in std_logic;
97
      CLK_I: in std_logic;
98
      DAT_I: in std_logic_vector (7 downto 0);
99
      DAT_O: out std_logic_vector (7 downto 0);
100
      ADR_O: out std_logic_vector (7 downto 0);
101
      CYC_O: out std_logic;
102
      STB_O: out std_logic;
103
      ACK_I: in std_logic ;
104
      WE_O: out std_logic;
105
 
106
      rst_pp: in std_logic  -- reset desde la interfaz del puerto paralelo
107
  );
108
 
109
 
110 6 budinero
begin
111
 
112
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.