OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_16bit_test.vhd] - Blame information for rev 19

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 budinero
--|-----------------------------------------------------------------------------
2
--| UNSL - Modular Oscilloscope
3
--|
4
--| File: eppwbn_test.vhd
5
--| Version: 0.10
6
--| Targeted device: Actel A3PE1500 
7
--|-----------------------------------------------------------------------------
8
--| Description:
9
--|   EPP - Wishbone bridge. 
10
--|       This file is only for test purposes
11
--|   
12
--------------------------------------------------------------------------------
13
--| File history:
14
--|   0.10   | jan-2008 | First release
15
--------------------------------------------------------------------------------
16
--| Copyright ® 2008, Facundo Aguilera.
17
--|
18
--| This VHDL design file is an open design; you can redistribute it and/or
19
--| modify it and/or implement it after contacting the author.
20
 
21
 
22
library IEEE;
23
use IEEE.STD_LOGIC_1164.ALL;
24
use work.eppwbn_pgk.all;
25
 
26
 
27
 
28
entity eppwbn_16bit_test is
29
  port(
30
    -- al puerto EPP
31
    nStrobe:    in std_logic;                                                                                   -- Nomenclatura IEEE Std. 1284 
32
                                                -- HostClk/nWrite 
33
    Data:       inout std_logic_vector (7 downto 0);     -- AD8..1 (Data1..Data8)
34
    nAck:       out std_logic;                                                                                          --  PtrClk/PeriphClk/Intr
35
    busy:       out std_logic;                                                                                          --  PtrBusy/PeriphAck/nWait
36
    PError:     out std_logic;                                                                          --  AckData/nAckReverse
37
    Sel:        out std_logic;                                                                          --  XFlag (Select)
38
    nAutoFd:    in std_logic;                                                                           --  HostBusy/HostAck/nDStrb
39
    PeriphLogicH: out std_logic;                                                                --  (Periph Logic High)
40
    nInit:      in std_logic;                                                                           --  nReverseRequest
41
    nFault:     out std_logic;                                                                          --  nDataAvail/nPeriphRequest
42
    nSelectIn:  in std_logic;                                                                           --  1284 Active/nAStrb
43
 
44
    -- a los switches
45
    rst:        in std_logic;
46
 
47
    -- al clock
48
    clk:        in std_logic
49
 
50
        );
51
end eppwbn_16bit_test;
52
 
53
architecture eppwbn_test_arch0 of eppwbn_16bit_test is
54
 
55
  signal DAT_I_master:  std_logic_vector (15 downto 0);
56
  signal DAT_O_master:  std_logic_vector (15 downto 0);
57
  signal ADR_O_master:  std_logic_vector (7 downto 0);
58
  signal CYC_O_master:  std_logic;
59
  signal STB_O_master:  std_logic;
60
  signal ACK_I_master:  std_logic;
61
  signal WE_O_master:   std_logic;
62
  signal clk_pll:       std_logic;
63
 
64
begin
65
 
66
  SL_MEM1: eppwbn_16bit_test_wb_side
67
  generic map(
68
    ADD_WIDTH   => 8 ,
69
    WIDTH      => 16
70
 
71
    )
72
 
73
  port map(
74
      RST_I => rst,
75
      CLK_I => clk_pll,
76
      DAT_I => DAT_O_master,
77
      DAT_O => DAT_I_master,
78
      ADR_I => ADR_O_master,
79
      CYC_I => CYC_O_master,
80
      STB_I => STB_O_master,
81
      ACK_O => ACK_I_master,
82
      WE_I  => WE_O_master
83
    );
84
 
85
  MA_EPP: eppwbn_16bit port map(
86
      -- Externo
87
      nStrobe   => nStrobe,
88
      Data      => Data,
89
      nAck      => nAck,
90
      busy      => busy,
91
      PError    => PError,
92
      Sel       => Sel,
93
      nAutoFd   => nAutoFd,
94
      PeriphLogicH => PeriphLogicH,
95
      nInit     => nInit,
96
      nFault    => nFault,
97
      nSelectIn => nSelectIn,
98
      --  Interno
99
      RST_I => rst,
100
      CLK_I => clk_pll,
101
      DAT_I => DAT_I_master,
102
      DAT_O => DAT_O_master,
103
      ADR_O => ADR_O_master,
104
      CYC_O => CYC_O_master,
105
      STB_O => STB_O_master,
106
      ACK_I => ACK_I_master,
107
      WE_O  => WE_O_master
108
    );
109
 
110
  PLL_0: pll port map(
111
    GLB => clk_pll,
112
    CLK => clk
113
    );
114
 
115
end architecture eppwbn_test_arch0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.