OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_16bit_test_wb_side.vhd] - Blame information for rev 22

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 budinero
--|-----------------------------------------------------------------------------
2
--| UNSL - Modular Oscilloscope
3
--|
4
--| File: eppwbn_test_wb_side.vhd
5
--| Version: 0.10
6
--| Targeted device: Actel A3PE1500 
7
--|-----------------------------------------------------------------------------
8
--| Description:
9
--|   EPP - Wishbone bridge. 
10
--|       This file is only for test purposes
11
--|   It only stores data in regiters with wishbone interconect
12
--------------------------------------------------------------------------------
13
--| File history:
14
--|   0.10   | dic-2008 | First release
15
--------------------------------------------------------------------------------
16
--| Copyright ® 2008, Facundo Aguilera.
17
--|
18
--| This VHDL design file is an open design; you can redistribute it and/or
19
--| modify it and/or implement it after contacting the author.
20
 
21
 
22
library IEEE;
23
use IEEE.STD_LOGIC_1164.ALL;
24 22 budinero
use work.eppwbn_pkg.all;
25 19 budinero
--use IEEE.STD_LOGIC_ARITH.ALL;
26
 
27
 
28
entity eppwbn_16bit_test_wb_side is
29
 
30
  generic (
31
    ADD_WIDTH   : integer   := 8;
32
    WIDTH       : integer   := 16
33
    );
34
 
35
  port(
36
    RST_I:  in std_logic;
37
    CLK_I:  in std_logic;
38
    DAT_I:  in std_logic_vector (WIDTH-1 downto 0);
39
    DAT_O:  out std_logic_vector (WIDTH-1 downto 0);
40 22 budinero
    ADR_I:  in std_logic_vector (ADD_WIDTH-1 downto 0);
41 19 budinero
    CYC_I:  in std_logic;
42
    STB_I:  in std_logic;
43
    ACK_O:  out std_logic ;
44
    WE_I:   in std_logic
45
        );
46
end eppwbn_16bit_test_wb_side;
47
 
48
architecture eppwbn_test_wb_arch0 of eppwbn_16bit_test_wb_side is
49
  signal auto_ack: std_logic;
50
begin
51
 
52
  MEM1: test_memory
53
  generic map(
54
    DEFAULT_OUT => '0',
55 22 budinero
    ADD_WIDTH => ADD_WIDTH,
56 19 budinero
    WIDTH  => WIDTH
57
    )
58
  port map (
59
    cs => auto_ack,
60
    clk => CLK_I,
61
    reset => RST_I,
62
    add => ADR_I,
63
    Data_In => DAT_I,
64
    Data_Out => DAT_O,
65
    WR => WE_I
66
  );
67
  auto_ack <= CYC_I and STB_I;
68
  ACK_O <= auto_ack;
69
 
70
 
71
 
72
end architecture eppwbn_test_wb_arch0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.