OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_epp_side.vhd] - Blame information for rev 19

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 budinero
--|------------------------------------------------------------------------------
2
--| UNSL - Modular Oscilloscope
3
--|
4
--| File: eppwbn_epp_side.vhd
5
--| Version: 0.01
6
--| Targeted device: Actel A3PE1500
7
--|------------------------------------------------------------------------------
8
--| Description:
9
--|     EPP - Wishbone bridge.
10
--|     EPP module output control (IEEE Std. 1284-2000).
11
-------------------------------------------------------------------------------
12
--| File history:
13
--|     0.01    | nov-2008 | First release
14
--------------------------------------------------------------------------------
15
--| Copyright ® 2008, Facundo Aguilera.
16
--|
17
--| This VHDL design file is an open design; you can redistribute it and/or
18
--| modify it and/or implement it after contacting the author. 
19
 
20
 
21
library IEEE;
22
use IEEE.STD_LOGIC_1164.ALL;
23
 
24
entity eppwbn_epp_side is
25
port(
26
 
27
  -- Selección de modo
28
  epp_mode: in std_logic_vector (1 downto 0);-- indicador de modo de comunicación epp
29
    -- "00" deshabilitado
30
    -- "01" inicial (señales de usuario e interrupciones deshabilitadas)
31
    -- "10" sin definir
32
    -- "11" modo EPP normal
33
 
34
  -- CTRL signals
35
        ctr_nAck:   in std_logic;                  -- PtrClk/PeriphClk/Intr
36
        ctr_PError: in std_logic;                  -- AckData/nAckReverse
37
        ctr_Sel:    in std_logic;                  -- XFlag (Select). Select no puede usarse
38
        ctr_nFault: in std_logic;                  -- nDataAvail/nPeriphRequest
39
 
40
  ctr_nAutoFd:    out std_logic;               -- HostBusy/HostAck/nDStrb
41
        ctr_nSelectIn:  out std_logic;               -- 1284 Active/nAStrb
42
  ctr_nStrobe:    out std_logic;               -- HostClk/nWrite
43
 
44
        -- WB-side signals
45
        wb_Busy:       in std_logic;              -- PtrBusy/PeriphAck/nWait
46
  wb_nAutoFd:    out std_logic;               -- HostBusy/HostAck/nDStrb
47
        wb_nSelectIn:  out std_logic;               -- 1284 Active/nAStrb
48
        wb_nStrobe:    out std_logic;               -- HostClk/nWrite
49
    -- No están implementadas las señales personalizadas
50
 
51
  -- To EPP port
52
        nAck:   out std_logic;                  -- PtrClk/PeriphClk/Intr
53
        PError: out std_logic;                  -- AckData/nAckReverse
54
        Sel:    out std_logic;                  -- XFlag (Select). Select no puede usarse
55
        nFault: out std_logic;                  -- nDataAvail/nPeriphRequest
56
 
57
  Busy:      out std_logic;                 -- PtrBusy/PeriphAck/nWait
58
        nAutoFd:   in std_logic;                  -- HostBusy/HostAck/nDStrb
59
        nSelectIn: in std_logic;                  -- 1284 Active/nAStrb
60
        nStrobe:   in std_logic                  -- HostClk/nWrite
61
 
62
);
63
end entity eppwbn_epp_side;
64
 
65
architecture multiplexor of eppwbn_epp_side is
66
 
67
begin
68
 
69
  -- Puentes
70
  --  Son incorporados en un módulo para facilitar modificaciones
71
  Busy <= wb_Busy;
72
 
73
  ctr_nAutoFd <= nAutoFd;
74
  ctr_nSelectIn <= nSelectIn;
75
  ctr_nStrobe <= nStrobe;
76
 
77
  -- Selección de salidas desde el módulo EPP cuando epp_mode = "11"
78
  --  Como no están implementadas las señales personalizadas se escribe "0000"
79
  multiplexing: process (epp_mode ,ctr_nAck, ctr_PError, ctr_Sel, ctr_nFault,
80
                                                nAutoFd, nSelectIn, nStrobe) begin
81
    case epp_mode is
82
 
83
      when "11" =>
84
        -- Hacia el host
85
        nAck <= '1'; -- No están implementadas las señales personalizadas
86
        PError <= '0';
87
        Sel <= '0';
88
        nFault <= '0';
89
 
90
        -- Hacia el módulo EPP
91
        wb_nAutoFd <= nAutoFd;
92
        wb_nSelectIn <= nSelectIn;
93
        wb_nStrobe <= nStrobe;
94
 
95
      when "01" =>
96
        -- Hacia el host
97
        nAck <= ctr_nAck;
98
        PError <= ctr_PError;
99
        Sel <= ctr_Sel;
100
        nFault <= ctr_nFault;
101
 
102
        -- Hacia el módulo EPP
103
        wb_nAutoFd <= nAutoFd;
104
        wb_nSelectIn <= nSelectIn;
105
        wb_nStrobe <= nStrobe;
106
 
107
      when others =>
108
        -- Hacia el host
109
        nAck <= ctr_nAck;
110
        PError <= ctr_PError;
111
        Sel <= ctr_Sel;
112
        nFault <= ctr_nFault;
113
 
114
        -- Hacia el módulo EPP
115
        wb_nAutoFd <= '1';
116
        wb_nSelectIn <= '1';
117
        wb_nStrobe <= '1';
118
    end case;
119
  end process;
120
 
121
end architecture multiplexor;
122
 
123
 
124
 
125
 

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.