OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_pkg.vhd] - Blame information for rev 11

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 budinero
--|-----------------------------------------------------------------------------
2
--| UNSL - Modular Oscilloscope
3
--|
4
--| File: eppwbn_wbn_side.vhd
5
--| Version: 0.10
6
--| Targeted device: Actel A3PE1500 
7
--|-----------------------------------------------------------------------------
8
--| Description:
9
--|   EPP - Wishbone bridge. 
10
--|       Package for instantiate all the other modules.
11
--|     It's only defined to reduce the code in other files.
12
--------------------------------------------------------------------------------
13
--| File history:
14
--|   0.01  | dic-2008 | First testing release
15
--------------------------------------------------------------------------------
16
--| Copyright Facundo Aguilera 2008
17
--| GPL
18
 
19
 
20
-- Bloque completo
21
library IEEE;
22
use IEEE.STD_LOGIC_1164.ALL;
23
 
24
package eppwbn_pgk is
25
        ------------------------------------------------------------------------------
26
        -- Componentes  
27
  component eppwbn_ctrl is
28
    port(
29
      nStrobe: in std_logic;
30
 
31
      Data: in std_logic_vector (7 downto 0);
32
      nAck: out std_logic;
33
      PError: out std_logic;
34
      Sel: out std_logic;
35
      nAutoFd: in std_logic;
36
      PeriphLogicH: out std_logic;
37
      nInit: in std_logic;
38
      nFault: out std_logic;
39
      nSelectIn: in std_logic;
40
 
41
      RST_I: in std_logic;
42
      CLK_I: in std_logic;
43
 
44
      rst_pp: out std_logic;
45
      epp_mode: out std_logic_vector (1 downto 0)
46
          );
47
        end component eppwbn_ctrl;
48
 
49
  component eppwbn_epp_side is
50
                port(
51
                        epp_mode: in std_logic_vector (1 downto 0);
52
 
53
                        ctr_nAck, ctr_PError, ctr_Sel, ctr_nFault:   in std_logic;
54
 
55
                        ctr_nAutoFd, ctr_nSelectIn, ctr_nStrobe:    out std_logic;
56
 
57
                        wb_Busy:       in std_logic;
58
                        wb_nAutoFd:    out std_logic;
59
                        wb_nSelectIn:  out std_logic;
60
                        wb_nStrobe:    out std_logic;
61
 
62
                        nAck, PError, Sel, nFault:   out std_logic;
63
 
64
                        Busy:      out std_logic;
65
                        nAutoFd:   in std_logic;
66
                        nSelectIn: in std_logic;
67
                        nStrobe:   in std_logic
68
                );
69
        end component eppwbn_epp_side;
70
 
71
        component eppwbn_wbn_side is
72
                port(
73
                        inStrobe: in std_logic;
74
                        iData: inout std_logic_vector (7 downto 0);
75
                        iBusy: out std_logic;
76
                        inAutoFd: in std_logic;
77
                        inSelectIn: in std_logic;
78
 
79
                        RST_I, CLK_I: in std_logic;
80
                        DAT_I: in std_logic_vector (7 downto 0);
81
                        DAT_O: out std_logic_vector (7 downto 0);
82
                        ADR_O: out std_logic_vector (7 downto 0);
83
                        CYC_O, STB_O: out std_logic;
84
                        ACK_I: in std_logic ;
85
                        WE_O: out std_logic;
86
 
87
                        rst_pp: in std_logic
88
                );
89
        end component eppwbn_wbn_side;
90
 
91
 
92
 
93
end package eppwbn_pgk;
94
 

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.