OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_test.vhd] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 budinero
--|-----------------------------------------------------------------------------
2
--| UNSL - Modular Oscilloscope
3
--|
4
--| File: eppwbn_test.vhd
5
--| Version: 0.10
6
--| Targeted device: Actel A3PE1500 
7
--|-----------------------------------------------------------------------------
8
--| Description:
9
--|   EPP - Wishbone bridge. 
10
--|       This file is only for test purposes
11
--|   
12
--------------------------------------------------------------------------------
13
--| File history:
14
--|   0.10   | jan-2008 | First release
15
--------------------------------------------------------------------------------
16
--| Copyright ® 2008, Facundo Aguilera.
17
--|
18
--| This VHDL design file is an open design; you can redistribute it and/or
19
--| modify it and/or implement it after contacting the author.
20
 
21
 
22
library IEEE;
23
use IEEE.STD_LOGIC_1164.ALL;
24
use work.eppwbn_pgk.all;
25
 
26
 
27
 
28
entity eppwbn_test is
29
  port(
30
    -- al puerto EPP
31
    nStrobe:    in std_logic;                                                                                   -- Nomenclatura IEEE Std. 1284 
32
                                                -- HostClk/nWrite 
33
    Data:       inout std_logic_vector (7 downto 0);     -- AD8..1 (Data1..Data8)
34
    nAck:       out std_logic;                                                                                          --  PtrClk/PeriphClk/Intr
35
    busy:       out std_logic;                                                                                          --  PtrBusy/PeriphAck/nWait
36
    PError:     out std_logic;                                                                          --  AckData/nAckReverse
37
    Sel:        out std_logic;                                                                          --  XFlag (Select)
38
    nAutoFd:    in std_logic;                                                                           --  HostBusy/HostAck/nDStrb
39
    PeriphLogicH: out std_logic;                                                                --  (Periph Logic High)
40
    nInit:      in std_logic;                                                                           --  nReverseRequest
41
    nFault:     out std_logic;                                                                          --  nDataAvail/nPeriphRequest
42
    nSelectIn:  in std_logic;                                                                           --  1284 Active/nAStrb
43
 
44
    -- a los switches
45
    rst:        in std_logic;
46
 
47
    -- al clock
48
    clk:        in std_logic
49
 
50
        );
51
end eppwbn_test;
52
 
53
architecture eppwbn_test_arch0 of eppwbn_test is
54
 
55
  signal DAT_I_master:  std_logic_vector (7 downto 0);
56
  signal DAT_O_master:  std_logic_vector (7 downto 0);
57
  signal ADR_O_master:  std_logic_vector (7 downto 0);
58
  signal CYC_O_master:  std_logic;
59
  signal STB_O_master:  std_logic;
60
  signal ACK_I_master:  std_logic;
61
  signal WE_O_master:   std_logic;
62
 
63
begin
64
 
65
  SL_MEM1: eppwbn_test_wb_side port map(
66
      RST_I => rst,
67
      CLK_I => clk,
68
      DAT_I => DAT_O_master,
69
      DAT_O => DAT_I_master,
70
      ADR_I => ADR_O_master,
71
      CYC_I => CYC_O_master,
72
      STB_I => STB_O_master,
73
      ACK_O => ACK_I_master,
74
      WE_I  => WE_O_master
75
    );
76
 
77
  MA_EPP: eppwbn port map(
78
      -- Externo
79
      nStrobe   => nStrobe,
80 15 budinero
      Data      => Data,
81 14 budinero
      nAck      => nAck,
82
      busy      => busy,
83
      PError    => PError,
84
      Sel       => Sel,
85
      nAutoFd   => nAutoFd,
86
      PeriphLogicH => PeriphLogicH,
87
      nInit     => nInit,
88
      nFault    => nFault,
89
      nSelectIn => nSelectIn,
90
      --  Interno
91
      RST_I => rst,
92
      CLK_I => clk,
93
      DAT_I => DAT_I_master,
94
      DAT_O => DAT_O_master,
95
      ADR_O => ADR_O_master,
96
      CYC_O => CYC_O_master,
97
      STB_O => STB_O_master,
98
      ACK_I => ACK_I_master,
99
      WE_O  => WE_O_master
100
    );
101
 
102 15 budinero
end architecture eppwbn_test_arch0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.