OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_test.vhd] - Blame information for rev 19

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 budinero
--|-----------------------------------------------------------------------------
2
--| UNSL - Modular Oscilloscope
3
--|
4
--| File: eppwbn_test.vhd
5
--| Version: 0.10
6
--| Targeted device: Actel A3PE1500 
7
--|-----------------------------------------------------------------------------
8
--| Description:
9
--|   EPP - Wishbone bridge. 
10
--|       This file is only for test purposes
11
--|   
12
--------------------------------------------------------------------------------
13
--| File history:
14
--|   0.10   | jan-2008 | First release
15
--------------------------------------------------------------------------------
16
--| Copyright ® 2008, Facundo Aguilera.
17
--|
18
--| This VHDL design file is an open design; you can redistribute it and/or
19
--| modify it and/or implement it after contacting the author.
20
 
21
 
22
library IEEE;
23
use IEEE.STD_LOGIC_1164.ALL;
24
use work.eppwbn_pgk.all;
25
 
26
 
27
 
28
entity eppwbn_test is
29
  port(
30
    -- al puerto EPP
31
    nStrobe:    in std_logic;                                                                                   -- Nomenclatura IEEE Std. 1284 
32
                                                -- HostClk/nWrite 
33
    Data:       inout std_logic_vector (7 downto 0);     -- AD8..1 (Data1..Data8)
34
    nAck:       out std_logic;                                                                                          --  PtrClk/PeriphClk/Intr
35
    busy:       out std_logic;                                                                                          --  PtrBusy/PeriphAck/nWait
36
    PError:     out std_logic;                                                                          --  AckData/nAckReverse
37
    Sel:        out std_logic;                                                                          --  XFlag (Select)
38
    nAutoFd:    in std_logic;                                                                           --  HostBusy/HostAck/nDStrb
39
    PeriphLogicH: out std_logic;                                                                --  (Periph Logic High)
40
    nInit:      in std_logic;                                                                           --  nReverseRequest
41
    nFault:     out std_logic;                                                                          --  nDataAvail/nPeriphRequest
42
    nSelectIn:  in std_logic;                                                                           --  1284 Active/nAStrb
43
 
44
    -- a los switches
45
    rst:        in std_logic;
46
 
47
    -- al clock
48
    clk:        in std_logic
49
 
50
        -- a los leds
51
    --epp_mode: out std_logic_vector(1 downto 0);
52
          --nAck_monitor:       out std_logic;  
53
    --busy_monitor:       out std_logic;        
54
    --PError_monitor:     out std_logic;        
55
    --Sel_monitor:        out std_logic;        
56
    --nFault_monitor:     out std_logic;
57
    -- nAutoFd_monitor:   out std_logic;        
58
    -- nInit_monitor:      out std_logic; 
59
    -- nSelectIn_monitor:  out std_logic;
60
    -- nStrobe_monitor:    out std_logic                        
61
    --PeriphLogicH_monitor: out std_logic; 
62
        );
63
end eppwbn_test;
64
 
65
architecture eppwbn_test_arch0 of eppwbn_test is
66
 
67
  signal DAT_I_master:  std_logic_vector (7 downto 0);
68
  signal DAT_O_master:  std_logic_vector (7 downto 0);
69
  signal ADR_O_master:  std_logic_vector (7 downto 0);
70
  signal CYC_O_master:  std_logic;
71
  signal STB_O_master:  std_logic;
72
  signal ACK_I_master:  std_logic;
73
  signal WE_O_master:   std_logic;
74
  signal clk_pll:   std_logic;
75
 
76
begin
77
 
78
  SL_MEM1: eppwbn_test_wb_side
79
  port map(
80
      RST_I => rst,
81
      CLK_I => clk_pll,
82
      DAT_I => DAT_O_master,
83
      DAT_O => DAT_I_master,
84
      ADR_I => ADR_O_master,
85
      CYC_I => CYC_O_master,
86
      STB_I => STB_O_master,
87
      ACK_O => ACK_I_master,
88
      WE_I  => WE_O_master
89
    );
90
 
91
 
92
 
93
  MA_EPP: eppwbn port map(
94
      -- Externo
95
      nStrobe   => nStrobe,
96
      Data      => Data,
97
      nAck      => nAck,
98
      busy      => busy,
99
      PError    => PError,
100
      Sel       => Sel,
101
      nAutoFd   => nAutoFd,
102
      PeriphLogicH => PeriphLogicH,
103
      nInit     => nInit,
104
      nFault    => nFault,
105
      nSelectIn => nSelectIn,
106
      --  Interno
107
      RST_I => rst,
108
      CLK_I => clk_pll,
109
      DAT_I => DAT_I_master,
110
      DAT_O => DAT_O_master,
111
      ADR_O => ADR_O_master,
112
      CYC_O => CYC_O_master,
113
      STB_O => STB_O_master,
114
      ACK_I => ACK_I_master,
115
      WE_O  => WE_O_master
116
    );
117
 
118
  PLL_0: pll port map(
119
    GLB => clk_pll,
120
    CLK => clk
121
    );
122
 
123 15 budinero
end architecture eppwbn_test_arch0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.