OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_test_wb_side.vhd] - Blame information for rev 22

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 budinero
--|-----------------------------------------------------------------------------
2
--| UNSL - Modular Oscilloscope
3
--|
4
--| File: eppwbn_test_wb_side.vhd
5
--| Version: 0.10
6
--| Targeted device: Actel A3PE1500 
7
--|-----------------------------------------------------------------------------
8
--| Description:
9
--|   EPP - Wishbone bridge. 
10
--|       This file is only for test purposes
11
--|   It only stores data in regiters with wishbone interconect
12
--------------------------------------------------------------------------------
13
--| File history:
14
--|   0.10   | dic-2008 | First release
15
--------------------------------------------------------------------------------
16 22 budinero
--| Copyright ® 2008, Facundo Aguilera.
17 14 budinero
--|
18
--| This VHDL design file is an open design; you can redistribute it and/or
19
--| modify it and/or implement it after contacting the author.
20
 
21
 
22
library IEEE;
23
use IEEE.STD_LOGIC_1164.ALL;
24 22 budinero
use work.eppwbn_pkg.all;
25 14 budinero
--use IEEE.STD_LOGIC_ARITH.ALL;
26
 
27
 
28
entity eppwbn_test_wb_side is
29
  port(
30
    RST_I:  in std_logic;
31
    CLK_I:  in std_logic;
32
    DAT_I:  in std_logic_vector (7 downto 0);
33
    DAT_O:  out std_logic_vector (7 downto 0);
34
    ADR_I:  in std_logic_vector (7 downto 0);
35
    CYC_I:  in std_logic;
36
    STB_I:  in std_logic;
37
    ACK_O:  out std_logic ;
38
    WE_I:   in std_logic
39
        );
40
end eppwbn_test_wb_side;
41
 
42
architecture eppwbn_test_wb_arch0 of eppwbn_test_wb_side is
43
  signal auto_ack: std_logic;
44
begin
45 15 budinero
 
46 19 budinero
  MEM1: test_memory
47
  generic map(
48
    DEFAULT_OUT => '0';
49
    ADD_WIDTH => 8;
50
    WIDTH  => 8
51 15 budinero
  port map (
52
    cs => auto_ack,
53
    clk => CLK_I,
54
    reset => RST_I,
55
    add => ADR_I,
56
    Data_In => DAT_I,
57
    Data_Out => DAT_O,
58
    WR => WE_I
59
  );
60 14 budinero
  auto_ack <= CYC_I and STB_I;
61
  ACK_O <= auto_ack;
62
 
63 15 budinero
 
64
 
65 14 budinero
end architecture eppwbn_test_wb_arch0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.