OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [pll.vhd] - Blame information for rev 19

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 budinero
-- Version: 8.5 8.5.0.34
2
 
3
library ieee;
4
use ieee.std_logic_1164.all;
5
library APA;
6
use APA.all;
7
 
8
entity pll is
9
    port(GLB, LOCK : out std_logic;  CLK : in std_logic) ;
10
end pll;
11
 
12
 
13
architecture DEF_ARCH of  pll is
14
 
15
    component PLLCORE
16
        port(SDOUT : out std_logic;  SCLK, SDIN, SSHIFT, SUPDATE :
17
        in std_logic := 'U'; GLB : out std_logic;  CLK : in
18
        std_logic := 'U'; GLA : out std_logic;  CLKA : in
19
        std_logic := 'U'; LOCK : out std_logic;  MODE, FBDIV5,
20
        EXTFB, FBSEL0, FBSEL1, FINDIV0, FINDIV1, FINDIV2, FINDIV3,
21
        FINDIV4, FBDIV0, FBDIV1, FBDIV2, FBDIV3, FBDIV4, STATBSEL,
22
        DLYB0, DLYB1, OBDIV0, OBDIV1, STATASEL, DLYA0, DLYA1,
23
        OADIV0, OADIV1, OAMUX0, OAMUX1, OBMUX0, OBMUX1, OBMUX2,
24
        FBDLY0, FBDLY1, FBDLY2, FBDLY3, XDLYSEL : in std_logic :=
25
        'U') ;
26
    end component;
27
 
28
    component PWR
29
        port( Y : out std_logic);
30
    end component;
31
 
32
    component GND
33
        port( Y : out std_logic);
34
    end component;
35
 
36
    signal VCC, GND_1_net : std_logic ;
37
    begin
38
 
39
    PWR_1_net : PWR port map(Y => VCC);
40
    GND_2_net : GND port map(Y => GND_1_net);
41
    Core : PLLCORE
42
      port map(SDOUT => OPEN , SCLK => GND_1_net, SDIN =>
43
        GND_1_net, SSHIFT => GND_1_net, SUPDATE => GND_1_net,
44
        GLB => GLB, CLK => CLK, GLA => OPEN , CLKA => GND_1_net,
45
        LOCK => LOCK, MODE => GND_1_net, FBDIV5 => GND_1_net,
46
        EXTFB => GND_1_net, FBSEL0 => VCC, FBSEL1 => GND_1_net,
47
        FINDIV0 => GND_1_net, FINDIV1 => GND_1_net, FINDIV2 =>
48
        GND_1_net, FINDIV3 => GND_1_net, FINDIV4 => GND_1_net,
49
        FBDIV0 => GND_1_net, FBDIV1 => GND_1_net, FBDIV2 =>
50
        GND_1_net, FBDIV3 => GND_1_net, FBDIV4 => GND_1_net,
51
        STATBSEL => GND_1_net, DLYB0 => GND_1_net, DLYB1 =>
52
        GND_1_net, OBDIV0 => VCC, OBDIV1 => VCC, STATASEL =>
53
        GND_1_net, DLYA0 => GND_1_net, DLYA1 => GND_1_net,
54
        OADIV0 => GND_1_net, OADIV1 => GND_1_net, OAMUX0 =>
55
        GND_1_net, OAMUX1 => GND_1_net, OBMUX0 => GND_1_net,
56
        OBMUX1 => GND_1_net, OBMUX2 => VCC, FBDLY0 => GND_1_net,
57
        FBDLY1 => GND_1_net, FBDLY2 => GND_1_net, FBDLY3 =>
58
        GND_1_net, XDLYSEL => GND_1_net);
59
end DEF_ARCH;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.