OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [memory/] [A3PE1500/] [dual_port_memory.vhd] - Blame information for rev 29

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 budinero
----------------------------------------------------------------------------------------------------
2
--| Modular Oscilloscope
3
--| UNSL - Argentine
4
--|
5
--| File: dual_port_memory.vhd
6
--| Version: 0.1
7
--| Tested in: Actel A3PE1500
8
--|-------------------------------------------------------------------------------------------------
9
--| Description:
10
--|   MEMORY - Dual Port Memory
11
--|   Generated with Actel SmartGen tool. It will not work with other Actel FPGA than A3PE1500 
12
--|             or similar.
13
--|-------------------------------------------------------------------------------------------------
14
--| File history:
15
--|   0.10  | jan-2009 | First release
16
----------------------------------------------------------------------------------------------------
17
 
18
 
19
-- Libero Project Manager Version: 8.5 SP1 8.5.1.13
20
-- Copyright 1989-2009  Actel Corporation
21
 
22
 
23
-- · Parámetros 
24
---- Generales
25
-- Reset: Not inverted
26
-- Double clock
27
-- High Speed
28
---- Para ambos puertos
29
-- Depth: 15360
30
-- Width: 16
31
-- BLKx: Not Inverted
32
-- CLKA: Rising
33
-- Pipeline: no
34
-- DOUT type: DINA0
35
 
36
 
37
 
38
 
39
 
40
 
41
 
42
 
43
library ieee;
44
use ieee.std_logic_1164.all;
45
library proasic3e;
46
use proasic3e.all;
47
 
48
entity dual_port_memory is
49
  port(
50
    DINA:   in    std_logic_vector(15 downto 0);
51
    DOUTA:  out   std_logic_vector(15 downto 0);
52
    ADDRA:  in    std_logic_vector(13 downto 0);  -- Only available until 15360
53
    RWA:    in    std_logic;                      -- '1' Read, '0' Write
54
    BLKA:   in    std_logic;                      -- '1' Block select
55
    CLKA:   in    std_logic;                      -- Rising edge
56
 
57
    DINB:   in    std_logic_vector(15 downto 0);
58
    DOUTB:  out   std_logic_vector(15 downto 0);
59
    ADDRB:  in    std_logic_vector(13 downto 0);
60
    RWB:    in    std_logic;
61
    BLKB:   in    std_logic;
62
    CLKB:   in    std_logic;
63
 
64
    RESET:  in    std_logic                       -- '1' Reset
65
  ) ;
66
 
67
end dual_port_memory;
68
 
69
 
70
architecture DEF_ARCH of  dual_port_memory is
71
 
72
    component BUFF
73
        port(A : in std_logic := 'U'; Y : out std_logic) ;
74
    end component;
75
 
76
    component RAM4K9
77
    generic (MEMORYFILE:string := "");
78
 
79
        port(ADDRA11, ADDRA10, ADDRA9, ADDRA8, ADDRA7, ADDRA6,
80
        ADDRA5, ADDRA4, ADDRA3, ADDRA2, ADDRA1, ADDRA0, ADDRB11,
81
        ADDRB10, ADDRB9, ADDRB8, ADDRB7, ADDRB6, ADDRB5, ADDRB4,
82
        ADDRB3, ADDRB2, ADDRB1, ADDRB0, DINA8, DINA7, DINA6,
83
        DINA5, DINA4, DINA3, DINA2, DINA1, DINA0, DINB8, DINB7,
84
        DINB6, DINB5, DINB4, DINB3, DINB2, DINB1, DINB0, WIDTHA0,
85
        WIDTHA1, WIDTHB0, WIDTHB1, PIPEA, PIPEB, WMODEA, WMODEB,
86
        BLKA, BLKB, WENA, WENB, CLKA, CLKB, RESET : in std_logic :=
87
        'U'; DOUTA8, DOUTA7, DOUTA6, DOUTA5, DOUTA4, DOUTA3,
88
        DOUTA2, DOUTA1, DOUTA0, DOUTB8, DOUTB7, DOUTB6, DOUTB5,
89
        DOUTB4, DOUTB3, DOUTB2, DOUTB1, DOUTB0 : out std_logic) ;
90
    end component;
91
 
92
    component OR2
93
        port(A, B : in std_logic := 'U'; Y : out std_logic) ;
94
    end component;
95
 
96
    component MX2
97
        port(A, B, S : in std_logic := 'U'; Y : out std_logic) ;
98
    end component;
99
 
100
    component NAND2
101
        port(A, B : in std_logic := 'U'; Y : out std_logic) ;
102
    end component;
103
 
104
    component DFN1
105
        port(D, CLK : in std_logic := 'U'; Q : out std_logic) ;
106
    end component;
107
 
108
    component INV
109
        port(A : in std_logic := 'U'; Y : out std_logic) ;
110
    end component;
111
 
112
    component AND2A
113
        port(A, B : in std_logic := 'U'; Y : out std_logic) ;
114
    end component;
115
 
116
    component NOR2
117
        port(A, B : in std_logic := 'U'; Y : out std_logic) ;
118
    end component;
119
 
120
    component AND2
121
        port(A, B : in std_logic := 'U'; Y : out std_logic) ;
122
    end component;
123
 
124
    component VCC
125
        port( Y : out std_logic);
126
    end component;
127
 
128
    component GND
129
        port( Y : out std_logic);
130
    end component;
131
 
132
    signal WEAP, WEBP, RESETP, ADDRA_FF2_0_net, ADDRA_FF2_1_net,
133
        ADDRA_FF2_2_net, ADDRA_FF2_3_net, ADDRB_FF2_0_net,
134
        ADDRB_FF2_1_net, ADDRB_FF2_2_net, ADDRB_FF2_3_net,
135
        ENABLE_ADDRA_0_net, ENABLE_ADDRA_1_net,
136
        ENABLE_ADDRA_2_net, ENABLE_ADDRA_3_net,
137
        ENABLE_ADDRA_4_net, ENABLE_ADDRA_5_net,
138
        ENABLE_ADDRA_6_net, ENABLE_ADDRA_7_net,
139
        ENABLE_ADDRA_8_net, ENABLE_ADDRA_9_net,
140
        ENABLE_ADDRA_10_net, ENABLE_ADDRA_11_net,
141
        ENABLE_ADDRA_12_net, ENABLE_ADDRA_13_net,
142
        ENABLE_ADDRA_14_net, ENABLE_ADDRB_0_net,
143
        ENABLE_ADDRB_1_net, ENABLE_ADDRB_2_net,
144
        ENABLE_ADDRB_3_net, ENABLE_ADDRB_4_net,
145
        ENABLE_ADDRB_5_net, ENABLE_ADDRB_6_net,
146
        ENABLE_ADDRB_7_net, ENABLE_ADDRB_8_net,
147
        ENABLE_ADDRB_9_net, ENABLE_ADDRB_10_net,
148
        ENABLE_ADDRB_11_net, ENABLE_ADDRB_12_net,
149
        ENABLE_ADDRB_13_net, ENABLE_ADDRB_14_net, BLKA_EN_0_net,
150
        BLKB_EN_0_net, BLKA_EN_1_net, BLKB_EN_1_net,
151
        BLKA_EN_2_net, BLKB_EN_2_net, BLKA_EN_3_net,
152
        BLKB_EN_3_net, BLKA_EN_4_net, BLKB_EN_4_net,
153
        BLKA_EN_5_net, BLKB_EN_5_net, BLKA_EN_6_net,
154
        BLKB_EN_6_net, BLKA_EN_7_net, BLKB_EN_7_net,
155
        BLKA_EN_8_net, BLKB_EN_8_net, BLKA_EN_9_net,
156
        BLKB_EN_9_net, BLKA_EN_10_net, BLKB_EN_10_net,
157
        BLKA_EN_11_net, BLKB_EN_11_net, BLKA_EN_12_net,
158
        BLKB_EN_12_net, BLKA_EN_13_net, BLKB_EN_13_net,
159
        BLKA_EN_14_net, BLKB_EN_14_net, QBX_TEMPR0_0_net,
160
        QBX_TEMPR0_1_net, QBX_TEMPR0_2_net, QBX_TEMPR0_3_net,
161
        QBX_TEMPR1_0_net, QBX_TEMPR1_1_net, QBX_TEMPR1_2_net,
162
        QBX_TEMPR1_3_net, QBX_TEMPR2_0_net, QBX_TEMPR2_1_net,
163
        QBX_TEMPR2_2_net, QBX_TEMPR2_3_net, QBX_TEMPR3_0_net,
164
        QBX_TEMPR3_1_net, QBX_TEMPR3_2_net, QBX_TEMPR3_3_net,
165
        QBX_TEMPR4_0_net, QBX_TEMPR4_1_net, QBX_TEMPR4_2_net,
166
        QBX_TEMPR4_3_net, QBX_TEMPR5_0_net, QBX_TEMPR5_1_net,
167
        QBX_TEMPR5_2_net, QBX_TEMPR5_3_net, QBX_TEMPR6_0_net,
168
        QBX_TEMPR6_1_net, QBX_TEMPR6_2_net, QBX_TEMPR6_3_net,
169
        QBX_TEMPR7_0_net, QBX_TEMPR7_1_net, QBX_TEMPR7_2_net,
170
        QBX_TEMPR7_3_net, QBX_TEMPR8_0_net, QBX_TEMPR8_1_net,
171
        QBX_TEMPR8_2_net, QBX_TEMPR8_3_net, QBX_TEMPR9_0_net,
172
        QBX_TEMPR9_1_net, QBX_TEMPR9_2_net, QBX_TEMPR9_3_net,
173
        QBX_TEMPR10_0_net, QBX_TEMPR10_1_net, QBX_TEMPR10_2_net,
174
        QBX_TEMPR10_3_net, QBX_TEMPR11_0_net, QBX_TEMPR11_1_net,
175
        QBX_TEMPR11_2_net, QBX_TEMPR11_3_net, QBX_TEMPR12_0_net,
176
        QBX_TEMPR12_1_net, QBX_TEMPR12_2_net, QBX_TEMPR12_3_net,
177
        QBX_TEMPR13_0_net, QBX_TEMPR13_1_net, QBX_TEMPR13_2_net,
178
        QBX_TEMPR13_3_net, QBX_TEMPR14_0_net, QBX_TEMPR14_1_net,
179
        QBX_TEMPR14_2_net, QBX_TEMPR14_3_net, QAX_TEMPR0_0_net,
180
        QAX_TEMPR0_1_net, QAX_TEMPR0_2_net, QAX_TEMPR0_3_net,
181
        QAX_TEMPR1_0_net, QAX_TEMPR1_1_net, QAX_TEMPR1_2_net,
182
        QAX_TEMPR1_3_net, QAX_TEMPR2_0_net, QAX_TEMPR2_1_net,
183
        QAX_TEMPR2_2_net, QAX_TEMPR2_3_net, QAX_TEMPR3_0_net,
184
        QAX_TEMPR3_1_net, QAX_TEMPR3_2_net, QAX_TEMPR3_3_net,
185
        QAX_TEMPR4_0_net, QAX_TEMPR4_1_net, QAX_TEMPR4_2_net,
186
        QAX_TEMPR4_3_net, QAX_TEMPR5_0_net, QAX_TEMPR5_1_net,
187
        QAX_TEMPR5_2_net, QAX_TEMPR5_3_net, QAX_TEMPR6_0_net,
188
        QAX_TEMPR6_1_net, QAX_TEMPR6_2_net, QAX_TEMPR6_3_net,
189
        QAX_TEMPR7_0_net, QAX_TEMPR7_1_net, QAX_TEMPR7_2_net,
190
        QAX_TEMPR7_3_net, QAX_TEMPR8_0_net, QAX_TEMPR8_1_net,
191
        QAX_TEMPR8_2_net, QAX_TEMPR8_3_net, QAX_TEMPR9_0_net,
192
        QAX_TEMPR9_1_net, QAX_TEMPR9_2_net, QAX_TEMPR9_3_net,
193
        QAX_TEMPR10_0_net, QAX_TEMPR10_1_net, QAX_TEMPR10_2_net,
194
        QAX_TEMPR10_3_net, QAX_TEMPR11_0_net, QAX_TEMPR11_1_net,
195
        QAX_TEMPR11_2_net, QAX_TEMPR11_3_net, QAX_TEMPR12_0_net,
196
        QAX_TEMPR12_1_net, QAX_TEMPR12_2_net, QAX_TEMPR12_3_net,
197
        QAX_TEMPR13_0_net, QAX_TEMPR13_1_net, QAX_TEMPR13_2_net,
198
        QAX_TEMPR13_3_net, QAX_TEMPR14_0_net, QAX_TEMPR14_1_net,
199
        QAX_TEMPR14_2_net, QAX_TEMPR14_3_net, QBX_TEMPR0_4_net,
200
        QBX_TEMPR0_5_net, QBX_TEMPR0_6_net, QBX_TEMPR0_7_net,
201
        QBX_TEMPR1_4_net, QBX_TEMPR1_5_net, QBX_TEMPR1_6_net,
202
        QBX_TEMPR1_7_net, QBX_TEMPR2_4_net, QBX_TEMPR2_5_net,
203
        QBX_TEMPR2_6_net, QBX_TEMPR2_7_net, QBX_TEMPR3_4_net,
204
        QBX_TEMPR3_5_net, QBX_TEMPR3_6_net, QBX_TEMPR3_7_net,
205
        QBX_TEMPR4_4_net, QBX_TEMPR4_5_net, QBX_TEMPR4_6_net,
206
        QBX_TEMPR4_7_net, QBX_TEMPR5_4_net, QBX_TEMPR5_5_net,
207
        QBX_TEMPR5_6_net, QBX_TEMPR5_7_net, QBX_TEMPR6_4_net,
208
        QBX_TEMPR6_5_net, QBX_TEMPR6_6_net, QBX_TEMPR6_7_net,
209
        QBX_TEMPR7_4_net, QBX_TEMPR7_5_net, QBX_TEMPR7_6_net,
210
        QBX_TEMPR7_7_net, QBX_TEMPR8_4_net, QBX_TEMPR8_5_net,
211
        QBX_TEMPR8_6_net, QBX_TEMPR8_7_net, QBX_TEMPR9_4_net,
212
        QBX_TEMPR9_5_net, QBX_TEMPR9_6_net, QBX_TEMPR9_7_net,
213
        QBX_TEMPR10_4_net, QBX_TEMPR10_5_net, QBX_TEMPR10_6_net,
214
        QBX_TEMPR10_7_net, QBX_TEMPR11_4_net, QBX_TEMPR11_5_net,
215
        QBX_TEMPR11_6_net, QBX_TEMPR11_7_net, QBX_TEMPR12_4_net,
216
        QBX_TEMPR12_5_net, QBX_TEMPR12_6_net, QBX_TEMPR12_7_net,
217
        QBX_TEMPR13_4_net, QBX_TEMPR13_5_net, QBX_TEMPR13_6_net,
218
        QBX_TEMPR13_7_net, QBX_TEMPR14_4_net, QBX_TEMPR14_5_net,
219
        QBX_TEMPR14_6_net, QBX_TEMPR14_7_net, QAX_TEMPR0_4_net,
220
        QAX_TEMPR0_5_net, QAX_TEMPR0_6_net, QAX_TEMPR0_7_net,
221
        QAX_TEMPR1_4_net, QAX_TEMPR1_5_net, QAX_TEMPR1_6_net,
222
        QAX_TEMPR1_7_net, QAX_TEMPR2_4_net, QAX_TEMPR2_5_net,
223
        QAX_TEMPR2_6_net, QAX_TEMPR2_7_net, QAX_TEMPR3_4_net,
224
        QAX_TEMPR3_5_net, QAX_TEMPR3_6_net, QAX_TEMPR3_7_net,
225
        QAX_TEMPR4_4_net, QAX_TEMPR4_5_net, QAX_TEMPR4_6_net,
226
        QAX_TEMPR4_7_net, QAX_TEMPR5_4_net, QAX_TEMPR5_5_net,
227
        QAX_TEMPR5_6_net, QAX_TEMPR5_7_net, QAX_TEMPR6_4_net,
228
        QAX_TEMPR6_5_net, QAX_TEMPR6_6_net, QAX_TEMPR6_7_net,
229
        QAX_TEMPR7_4_net, QAX_TEMPR7_5_net, QAX_TEMPR7_6_net,
230
        QAX_TEMPR7_7_net, QAX_TEMPR8_4_net, QAX_TEMPR8_5_net,
231
        QAX_TEMPR8_6_net, QAX_TEMPR8_7_net, QAX_TEMPR9_4_net,
232
        QAX_TEMPR9_5_net, QAX_TEMPR9_6_net, QAX_TEMPR9_7_net,
233
        QAX_TEMPR10_4_net, QAX_TEMPR10_5_net, QAX_TEMPR10_6_net,
234
        QAX_TEMPR10_7_net, QAX_TEMPR11_4_net, QAX_TEMPR11_5_net,
235
        QAX_TEMPR11_6_net, QAX_TEMPR11_7_net, QAX_TEMPR12_4_net,
236
        QAX_TEMPR12_5_net, QAX_TEMPR12_6_net, QAX_TEMPR12_7_net,
237
        QAX_TEMPR13_4_net, QAX_TEMPR13_5_net, QAX_TEMPR13_6_net,
238
        QAX_TEMPR13_7_net, QAX_TEMPR14_4_net, QAX_TEMPR14_5_net,
239
        QAX_TEMPR14_6_net, QAX_TEMPR14_7_net, QBX_TEMPR0_8_net,
240
        QBX_TEMPR0_9_net, QBX_TEMPR0_10_net, QBX_TEMPR0_11_net,
241
        QBX_TEMPR1_8_net, QBX_TEMPR1_9_net, QBX_TEMPR1_10_net,
242
        QBX_TEMPR1_11_net, QBX_TEMPR2_8_net, QBX_TEMPR2_9_net,
243
        QBX_TEMPR2_10_net, QBX_TEMPR2_11_net, QBX_TEMPR3_8_net,
244
        QBX_TEMPR3_9_net, QBX_TEMPR3_10_net, QBX_TEMPR3_11_net,
245
        QBX_TEMPR4_8_net, QBX_TEMPR4_9_net, QBX_TEMPR4_10_net,
246
        QBX_TEMPR4_11_net, QBX_TEMPR5_8_net, QBX_TEMPR5_9_net,
247
        QBX_TEMPR5_10_net, QBX_TEMPR5_11_net, QBX_TEMPR6_8_net,
248
        QBX_TEMPR6_9_net, QBX_TEMPR6_10_net, QBX_TEMPR6_11_net,
249
        QBX_TEMPR7_8_net, QBX_TEMPR7_9_net, QBX_TEMPR7_10_net,
250
        QBX_TEMPR7_11_net, QBX_TEMPR8_8_net, QBX_TEMPR8_9_net,
251
        QBX_TEMPR8_10_net, QBX_TEMPR8_11_net, QBX_TEMPR9_8_net,
252
        QBX_TEMPR9_9_net, QBX_TEMPR9_10_net, QBX_TEMPR9_11_net,
253
        QBX_TEMPR10_8_net, QBX_TEMPR10_9_net, QBX_TEMPR10_10_net,
254
        QBX_TEMPR10_11_net, QBX_TEMPR11_8_net, QBX_TEMPR11_9_net,
255
        QBX_TEMPR11_10_net, QBX_TEMPR11_11_net, QBX_TEMPR12_8_net,
256
        QBX_TEMPR12_9_net, QBX_TEMPR12_10_net, QBX_TEMPR12_11_net,
257
        QBX_TEMPR13_8_net, QBX_TEMPR13_9_net, QBX_TEMPR13_10_net,
258
        QBX_TEMPR13_11_net, QBX_TEMPR14_8_net, QBX_TEMPR14_9_net,
259
        QBX_TEMPR14_10_net, QBX_TEMPR14_11_net, QAX_TEMPR0_8_net,
260
        QAX_TEMPR0_9_net, QAX_TEMPR0_10_net, QAX_TEMPR0_11_net,
261
        QAX_TEMPR1_8_net, QAX_TEMPR1_9_net, QAX_TEMPR1_10_net,
262
        QAX_TEMPR1_11_net, QAX_TEMPR2_8_net, QAX_TEMPR2_9_net,
263
        QAX_TEMPR2_10_net, QAX_TEMPR2_11_net, QAX_TEMPR3_8_net,
264
        QAX_TEMPR3_9_net, QAX_TEMPR3_10_net, QAX_TEMPR3_11_net,
265
        QAX_TEMPR4_8_net, QAX_TEMPR4_9_net, QAX_TEMPR4_10_net,
266
        QAX_TEMPR4_11_net, QAX_TEMPR5_8_net, QAX_TEMPR5_9_net,
267
        QAX_TEMPR5_10_net, QAX_TEMPR5_11_net, QAX_TEMPR6_8_net,
268
        QAX_TEMPR6_9_net, QAX_TEMPR6_10_net, QAX_TEMPR6_11_net,
269
        QAX_TEMPR7_8_net, QAX_TEMPR7_9_net, QAX_TEMPR7_10_net,
270
        QAX_TEMPR7_11_net, QAX_TEMPR8_8_net, QAX_TEMPR8_9_net,
271
        QAX_TEMPR8_10_net, QAX_TEMPR8_11_net, QAX_TEMPR9_8_net,
272
        QAX_TEMPR9_9_net, QAX_TEMPR9_10_net, QAX_TEMPR9_11_net,
273
        QAX_TEMPR10_8_net, QAX_TEMPR10_9_net, QAX_TEMPR10_10_net,
274
        QAX_TEMPR10_11_net, QAX_TEMPR11_8_net, QAX_TEMPR11_9_net,
275
        QAX_TEMPR11_10_net, QAX_TEMPR11_11_net, QAX_TEMPR12_8_net,
276
        QAX_TEMPR12_9_net, QAX_TEMPR12_10_net, QAX_TEMPR12_11_net,
277
        QAX_TEMPR13_8_net, QAX_TEMPR13_9_net, QAX_TEMPR13_10_net,
278
        QAX_TEMPR13_11_net, QAX_TEMPR14_8_net, QAX_TEMPR14_9_net,
279
        QAX_TEMPR14_10_net, QAX_TEMPR14_11_net, QBX_TEMPR0_12_net,
280
        QBX_TEMPR0_13_net, QBX_TEMPR0_14_net, QBX_TEMPR0_15_net,
281
        QBX_TEMPR1_12_net, QBX_TEMPR1_13_net, QBX_TEMPR1_14_net,
282
        QBX_TEMPR1_15_net, QBX_TEMPR2_12_net, QBX_TEMPR2_13_net,
283
        QBX_TEMPR2_14_net, QBX_TEMPR2_15_net, QBX_TEMPR3_12_net,
284
        QBX_TEMPR3_13_net, QBX_TEMPR3_14_net, QBX_TEMPR3_15_net,
285
        QBX_TEMPR4_12_net, QBX_TEMPR4_13_net, QBX_TEMPR4_14_net,
286
        QBX_TEMPR4_15_net, QBX_TEMPR5_12_net, QBX_TEMPR5_13_net,
287
        QBX_TEMPR5_14_net, QBX_TEMPR5_15_net, QBX_TEMPR6_12_net,
288
        QBX_TEMPR6_13_net, QBX_TEMPR6_14_net, QBX_TEMPR6_15_net,
289
        QBX_TEMPR7_12_net, QBX_TEMPR7_13_net, QBX_TEMPR7_14_net,
290
        QBX_TEMPR7_15_net, QBX_TEMPR8_12_net, QBX_TEMPR8_13_net,
291
        QBX_TEMPR8_14_net, QBX_TEMPR8_15_net, QBX_TEMPR9_12_net,
292
        QBX_TEMPR9_13_net, QBX_TEMPR9_14_net, QBX_TEMPR9_15_net,
293
        QBX_TEMPR10_12_net, QBX_TEMPR10_13_net,
294
        QBX_TEMPR10_14_net, QBX_TEMPR10_15_net,
295
        QBX_TEMPR11_12_net, QBX_TEMPR11_13_net,
296
        QBX_TEMPR11_14_net, QBX_TEMPR11_15_net,
297
        QBX_TEMPR12_12_net, QBX_TEMPR12_13_net,
298
        QBX_TEMPR12_14_net, QBX_TEMPR12_15_net,
299
        QBX_TEMPR13_12_net, QBX_TEMPR13_13_net,
300
        QBX_TEMPR13_14_net, QBX_TEMPR13_15_net,
301
        QBX_TEMPR14_12_net, QBX_TEMPR14_13_net,
302
        QBX_TEMPR14_14_net, QBX_TEMPR14_15_net, QAX_TEMPR0_12_net,
303
        QAX_TEMPR0_13_net, QAX_TEMPR0_14_net, QAX_TEMPR0_15_net,
304
        QAX_TEMPR1_12_net, QAX_TEMPR1_13_net, QAX_TEMPR1_14_net,
305
        QAX_TEMPR1_15_net, QAX_TEMPR2_12_net, QAX_TEMPR2_13_net,
306
        QAX_TEMPR2_14_net, QAX_TEMPR2_15_net, QAX_TEMPR3_12_net,
307
        QAX_TEMPR3_13_net, QAX_TEMPR3_14_net, QAX_TEMPR3_15_net,
308
        QAX_TEMPR4_12_net, QAX_TEMPR4_13_net, QAX_TEMPR4_14_net,
309
        QAX_TEMPR4_15_net, QAX_TEMPR5_12_net, QAX_TEMPR5_13_net,
310
        QAX_TEMPR5_14_net, QAX_TEMPR5_15_net, QAX_TEMPR6_12_net,
311
        QAX_TEMPR6_13_net, QAX_TEMPR6_14_net, QAX_TEMPR6_15_net,
312
        QAX_TEMPR7_12_net, QAX_TEMPR7_13_net, QAX_TEMPR7_14_net,
313
        QAX_TEMPR7_15_net, QAX_TEMPR8_12_net, QAX_TEMPR8_13_net,
314
        QAX_TEMPR8_14_net, QAX_TEMPR8_15_net, QAX_TEMPR9_12_net,
315
        QAX_TEMPR9_13_net, QAX_TEMPR9_14_net, QAX_TEMPR9_15_net,
316
        QAX_TEMPR10_12_net, QAX_TEMPR10_13_net,
317
        QAX_TEMPR10_14_net, QAX_TEMPR10_15_net,
318
        QAX_TEMPR11_12_net, QAX_TEMPR11_13_net,
319
        QAX_TEMPR11_14_net, QAX_TEMPR11_15_net,
320
        QAX_TEMPR12_12_net, QAX_TEMPR12_13_net,
321
        QAX_TEMPR12_14_net, QAX_TEMPR12_15_net,
322
        QAX_TEMPR13_12_net, QAX_TEMPR13_13_net,
323
        QAX_TEMPR13_14_net, QAX_TEMPR13_15_net,
324
        QAX_TEMPR14_12_net, QAX_TEMPR14_13_net,
325
        QAX_TEMPR14_14_net, QAX_TEMPR14_15_net, BUFF_22_Y,
326
        BUFF_26_Y, BUFF_10_Y, BUFF_35_Y, BUFF_27_Y, MX2_117_Y,
327
        MX2_291_Y, MX2_82_Y, MX2_362_Y, MX2_351_Y, MX2_276_Y,
328
        MX2_90_Y, MX2_327_Y, MX2_36_Y, MX2_218_Y, MX2_118_Y,
329
        MX2_363_Y, MX2_22_Y, MX2_146_Y, MX2_301_Y, MX2_384_Y,
330
        MX2_371_Y, MX2_170_Y, MX2_101_Y, MX2_168_Y, MX2_216_Y,
331
        MX2_37_Y, MX2_86_Y, MX2_163_Y, MX2_243_Y, MX2_309_Y,
332
        MX2_317_Y, MX2_322_Y, MX2_110_Y, MX2_181_Y, MX2_271_Y,
333
        MX2_124_Y, MX2_231_Y, MX2_346_Y, MX2_178_Y, MX2_235_Y,
334
        MX2_98_Y, MX2_383_Y, MX2_40_Y, MX2_388_Y, MX2_305_Y,
335
        MX2_413_Y, MX2_365_Y, MX2_128_Y, MX2_17_Y, MX2_31_Y,
336
        MX2_237_Y, MX2_228_Y, MX2_132_Y, MX2_28_Y, MX2_268_Y,
337
        MX2_334_Y, BUFF_12_Y, BUFF_6_Y, BUFF_3_Y, BUFF_29_Y,
338
        BUFF_25_Y, MX2_134_Y, MX2_386_Y, MX2_249_Y, MX2_342_Y,
339
        MX2_254_Y, MX2_10_Y, MX2_66_Y, MX2_340_Y, MX2_355_Y,
340
        MX2_171_Y, MX2_201_Y, MX2_52_Y, MX2_325_Y, MX2_114_Y,
341
        MX2_250_Y, MX2_133_Y, MX2_12_Y, MX2_385_Y, MX2_255_Y,
342
        MX2_344_Y, MX2_222_Y, MX2_293_Y, MX2_32_Y, MX2_353_Y,
343
        MX2_329_Y, MX2_211_Y, MX2_230_Y, MX2_119_Y, MX2_273_Y,
344
        MX2_298_Y, MX2_205_Y, MX2_366_Y, MX2_277_Y, MX2_368_Y,
345
        MX2_292_Y, MX2_196_Y, MX2_64_Y, MX2_65_Y, MX2_349_Y,
346
        MX2_27_Y, MX2_4_Y, MX2_219_Y, MX2_415_Y, MX2_373_Y,
347
        MX2_315_Y, MX2_109_Y, MX2_313_Y, MX2_112_Y, MX2_137_Y,
348
        MX2_144_Y, MX2_20_Y, MX2_300_Y, NOR2_2_Y, AND2A_4_Y,
349
        AND2A_2_Y, AND2_2_Y, NOR2_3_Y, AND2A_5_Y, AND2A_3_Y,
350
        AND2_3_Y, BUFF_34_Y, BUFF_28_Y, BUFF_39_Y, BUFF_1_Y,
351
        BUFF_19_Y, MX2_100_Y, MX2_360_Y, MX2_227_Y, MX2_321_Y,
352
        MX2_233_Y, MX2_406_Y, MX2_57_Y, MX2_210_Y, MX2_331_Y,
353
        MX2_405_Y, MX2_176_Y, MX2_159_Y, MX2_198_Y, MX2_80_Y,
354
        MX2_229_Y, MX2_95_Y, MX2_407_Y, MX2_357_Y, MX2_234_Y,
355
        MX2_323_Y, MX2_69_Y, MX2_275_Y, MX2_279_Y, MX2_330_Y,
356
        MX2_19_Y, MX2_56_Y, MX2_215_Y, MX2_84_Y, MX2_252_Y,
357
        MX2_278_Y, MX2_194_Y, MX2_341_Y, MX2_257_Y, MX2_225_Y,
358
        MX2_272_Y, MX2_14_Y, MX2_49_Y, MX2_184_Y, MX2_212_Y,
359
        MX2_3_Y, MX2_401_Y, MX2_204_Y, MX2_393_Y, MX2_347_Y,
360
        MX2_299_Y, MX2_76_Y, MX2_188_Y, MX2_77_Y, MX2_372_Y,
361
        MX2_111_Y, MX2_116_Y, MX2_164_Y, BUFF_23_Y, BUFF_33_Y,
362
        BUFF_5_Y, BUFF_36_Y, BUFF_7_Y, MX2_283_Y, MX2_145_Y,
363
        MX2_411_Y, MX2_85_Y, MX2_0_Y, MX2_190_Y, MX2_236_Y,
364
        MX2_232_Y, MX2_103_Y, MX2_224_Y, MX2_336_Y, MX2_200_Y,
365
        MX2_157_Y, MX2_270_Y, MX2_414_Y, MX2_281_Y, MX2_191_Y,
366
        MX2_141_Y, MX2_1_Y, MX2_87_Y, MX2_104_Y, MX2_43_Y,
367
        MX2_89_Y, MX2_102_Y, MX2_58_Y, MX2_18_Y, MX2_395_Y,
368
        MX2_274_Y, MX2_21_Y, MX2_47_Y, MX2_352_Y, MX2_121_Y,
369
        MX2_23_Y, MX2_258_Y, MX2_41_Y, MX2_247_Y, MX2_226_Y,
370
        MX2_213_Y, MX2_182_Y, MX2_202_Y, MX2_180_Y, MX2_376_Y,
371
        MX2_173_Y, MX2_131_Y, MX2_61_Y, MX2_265_Y, MX2_208_Y,
372
        MX2_267_Y, MX2_203_Y, MX2_289_Y, MX2_165_Y, MX2_115_Y,
373
        BUFF_11_Y, BUFF_20_Y, BUFF_14_Y, BUFF_31_Y, BUFF_32_Y,
374
        MX2_140_Y, MX2_304_Y, MX2_107_Y, MX2_379_Y, MX2_370_Y,
375
        MX2_290_Y, MX2_122_Y, MX2_78_Y, MX2_53_Y, MX2_394_Y,
376
        MX2_142_Y, MX2_35_Y, MX2_263_Y, MX2_162_Y, MX2_314_Y,
377
        MX2_400_Y, MX2_392_Y, MX2_186_Y, MX2_129_Y, MX2_185_Y,
378
        MX2_380_Y, MX2_54_Y, MX2_266_Y, MX2_177_Y, MX2_320_Y,
379
        MX2_158_Y, MX2_333_Y, MX2_337_Y, MX2_138_Y, MX2_199_Y,
380
        MX2_288_Y, MX2_148_Y, MX2_248_Y, MX2_106_Y, MX2_197_Y,
381
        MX2_410_Y, MX2_127_Y, MX2_55_Y, MX2_282_Y, MX2_402_Y,
382
        MX2_319_Y, MX2_9_Y, MX2_387_Y, MX2_150_Y, MX2_33_Y,
383
        MX2_48_Y, MX2_409_Y, MX2_246_Y, MX2_295_Y, MX2_46_Y,
384
        MX2_350_Y, MX2_187_Y, BUFF_24_Y, BUFF_2_Y, BUFF_16_Y,
385
        BUFF_4_Y, BUFF_13_Y, MX2_256_Y, MX2_94_Y, MX2_381_Y,
386
        MX2_62_Y, MX2_390_Y, MX2_160_Y, MX2_214_Y, MX2_286_Y,
387
        MX2_68_Y, MX2_6_Y, MX2_311_Y, MX2_60_Y, MX2_302_Y,
388
        MX2_242_Y, MX2_382_Y, MX2_253_Y, MX2_161_Y, MX2_93_Y,
389
        MX2_391_Y, MX2_63_Y, MX2_175_Y, MX2_8_Y, MX2_297_Y,
390
        MX2_67_Y, MX2_339_Y, MX2_192_Y, MX2_359_Y, MX2_245_Y,
391
        MX2_404_Y, MX2_13_Y, MX2_324_Y, MX2_74_Y, MX2_408_Y,
392
        MX2_307_Y, MX2_7_Y, MX2_34_Y, MX2_206_Y, MX2_72_Y,
393
        MX2_318_Y, MX2_172_Y, MX2_152_Y, MX2_343_Y, MX2_143_Y,
394
        MX2_83_Y, MX2_38_Y, MX2_238_Y, MX2_259_Y, MX2_241_Y,
395
        MX2_396_Y, MX2_261_Y, MX2_30_Y, MX2_269_Y, NOR2_1_Y,
396
        AND2A_1_Y, AND2A_7_Y, AND2_1_Y, NOR2_0_Y, AND2A_0_Y,
397
        AND2A_6_Y, AND2_0_Y, BUFF_37_Y, BUFF_15_Y, BUFF_9_Y,
398
        BUFF_8_Y, BUFF_30_Y, MX2_91_Y, MX2_280_Y, MX2_70_Y,
399
        MX2_345_Y, MX2_335_Y, MX2_262_Y, MX2_75_Y, MX2_126_Y,
400
        MX2_24_Y, MX2_358_Y, MX2_92_Y, MX2_209_Y, MX2_294_Y,
401
        MX2_125_Y, MX2_287_Y, MX2_367_Y, MX2_356_Y, MX2_156_Y,
402
        MX2_81_Y, MX2_154_Y, MX2_412_Y, MX2_25_Y, MX2_239_Y,
403
        MX2_149_Y, MX2_71_Y, MX2_189_Y, MX2_306_Y, MX2_312_Y,
404
        MX2_88_Y, MX2_167_Y, MX2_260_Y, MX2_97_Y, MX2_220_Y,
405
        MX2_151_Y, MX2_166_Y, MX2_378_Y, MX2_79_Y, MX2_221_Y,
406
        MX2_308_Y, MX2_369_Y, MX2_296_Y, MX2_399_Y, MX2_348_Y,
407
        MX2_105_Y, MX2_2_Y, MX2_16_Y, MX2_26_Y, MX2_217_Y,
408
        MX2_264_Y, MX2_11_Y, MX2_108_Y, MX2_207_Y, BUFF_18_Y,
409
        BUFF_21_Y, BUFF_0_Y, BUFF_38_Y, BUFF_17_Y, MX2_135_Y,
410
        MX2_303_Y, MX2_99_Y, MX2_374_Y, MX2_364_Y, MX2_285_Y,
411
        MX2_113_Y, MX2_44_Y, MX2_50_Y, MX2_338_Y, MX2_136_Y,
412
        MX2_153_Y, MX2_73_Y, MX2_155_Y, MX2_310_Y, MX2_397_Y,
413
        MX2_389_Y, MX2_183_Y, MX2_123_Y, MX2_179_Y, MX2_326_Y,
414
        MX2_51_Y, MX2_223_Y, MX2_174_Y, MX2_15_Y, MX2_375_Y,
415
        MX2_328_Y, MX2_332_Y, MX2_130_Y, MX2_195_Y, MX2_284_Y,
416
        MX2_139_Y, MX2_244_Y, MX2_59_Y, MX2_193_Y, MX2_361_Y,
417
        MX2_120_Y, MX2_169_Y, MX2_96_Y, MX2_398_Y, MX2_316_Y,
418
        MX2_5_Y, MX2_377_Y, MX2_147_Y, MX2_29_Y, MX2_42_Y,
419
        MX2_354_Y, MX2_240_Y, MX2_251_Y, MX2_39_Y, MX2_45_Y,
420
        MX2_403_Y, VCC_1_net, GND_1_net : std_logic ;
421
    begin
422
 
423
    VCC_2_net : VCC port map(Y => VCC_1_net);
424
    GND_2_net : GND port map(Y => GND_1_net);
425
    BUFF_8 : BUFF
426
      port map(A => ADDRA_FF2_1_net, Y => BUFF_8_Y);
427
    dual_port_memory_R0C3 : RAM4K9
428
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
429
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
430
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
431
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
432
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
433
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
434
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
435
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
436
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
437
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
438
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
439
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
440
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
441
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
442
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
443
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
444
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
445
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
446
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
447
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_0_net,
448
        BLKB => BLKB_EN_0_net, WENA => RWA, WENB => RWB, CLKA =>
449
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
450
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
451
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR0_15_net, DOUTA2 =>
452
        QAX_TEMPR0_14_net, DOUTA1 => QAX_TEMPR0_13_net, DOUTA0 =>
453
        QAX_TEMPR0_12_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
454
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
455
        DOUTB3 => QBX_TEMPR0_15_net, DOUTB2 => QBX_TEMPR0_14_net,
456
        DOUTB1 => QBX_TEMPR0_13_net, DOUTB0 => QBX_TEMPR0_12_net);
457
    ORB_GATE_11_inst : OR2
458
      port map(A => ENABLE_ADDRB_11_net, B => WEBP, Y =>
459
        BLKB_EN_11_net);
460
    MX2_113 : MX2
461
      port map(A => QAX_TEMPR6_3_net, B => QAX_TEMPR7_3_net, S =>
462
        BUFF_0_Y, Y => MX2_113_Y);
463
    MX2_279 : MX2
464
      port map(A => QBX_TEMPR10_10_net, B => QBX_TEMPR11_10_net,
465
        S => BUFF_39_Y, Y => MX2_279_Y);
466
    ORB_GATE_4_inst : OR2
467
      port map(A => ENABLE_ADDRB_4_net, B => WEBP, Y =>
468
        BLKB_EN_4_net);
469
    dual_port_memory_R9C0 : RAM4K9
470
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
471
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
472
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
473
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
474
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
475
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
476
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
477
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
478
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
479
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
480
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
481
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
482
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
483
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
484
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
485
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
486
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
487
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
488
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
489
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_9_net,
490
        BLKB => BLKB_EN_9_net, WENA => RWA, WENB => RWB, CLKA =>
491
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
492
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
493
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR9_3_net, DOUTA2 =>
494
        QAX_TEMPR9_2_net, DOUTA1 => QAX_TEMPR9_1_net, DOUTA0 =>
495
        QAX_TEMPR9_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
496
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
497
        DOUTB3 => QBX_TEMPR9_3_net, DOUTB2 => QBX_TEMPR9_2_net,
498
        DOUTB1 => QBX_TEMPR9_1_net, DOUTB0 => QBX_TEMPR9_0_net);
499
    MX2_319 : MX2
500
      port map(A => QAX_TEMPR2_9_net, B => QAX_TEMPR3_9_net, S =>
501
        BUFF_11_Y, Y => MX2_319_Y);
502
    MX2_226 : MX2
503
      port map(A => MX2_41_Y, B => MX2_247_Y, S => BUFF_36_Y,
504
        Y => MX2_226_Y);
505
    MX2_304 : MX2
506
      port map(A => QAX_TEMPR2_11_net, B => QAX_TEMPR3_11_net,
507
        S => BUFF_14_Y, Y => MX2_304_Y);
508
    MX2_382 : MX2
509
      port map(A => QBX_TEMPR2_14_net, B => QBX_TEMPR3_14_net,
510
        S => BUFF_2_Y, Y => MX2_382_Y);
511
    MX2_183 : MX2
512
      port map(A => MX2_397_Y, B => MX2_389_Y, S =>
513
        ADDRA_FF2_2_net, Y => MX2_183_Y);
514
    dual_port_memory_R13C3 : RAM4K9
515
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
516
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
517
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
518
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
519
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
520
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
521
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
522
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
523
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
524
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
525
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
526
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
527
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
528
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
529
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
530
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
531
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
532
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
533
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
534
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_13_net,
535
        BLKB => BLKB_EN_13_net, WENA => RWA, WENB => RWB, CLKA =>
536
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
537
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
538
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR13_15_net, DOUTA2 =>
539
        QAX_TEMPR13_14_net, DOUTA1 => QAX_TEMPR13_13_net,
540
        DOUTA0 => QAX_TEMPR13_12_net, DOUTB8 => OPEN , DOUTB7 =>
541
        OPEN , DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
542
        DOUTB3 => QBX_TEMPR13_15_net, DOUTB2 =>
543
        QBX_TEMPR13_14_net, DOUTB1 => QBX_TEMPR13_13_net,
544
        DOUTB0 => QBX_TEMPR13_12_net);
545
    dual_port_memory_R6C3 : RAM4K9
546
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
547
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
548
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
549
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
550
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
551
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
552
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
553
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
554
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
555
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
556
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
557
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
558
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
559
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
560
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
561
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
562
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
563
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
564
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
565
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_6_net,
566
        BLKB => BLKB_EN_6_net, WENA => RWA, WENB => RWB, CLKA =>
567
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
568
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
569
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR6_15_net, DOUTA2 =>
570
        QAX_TEMPR6_14_net, DOUTA1 => QAX_TEMPR6_13_net, DOUTA0 =>
571
        QAX_TEMPR6_12_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
572
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
573
        DOUTB3 => QBX_TEMPR6_15_net, DOUTB2 => QBX_TEMPR6_14_net,
574
        DOUTB1 => QBX_TEMPR6_13_net, DOUTB0 => QBX_TEMPR6_12_net);
575
    MX2_389 : MX2
576
      port map(A => MX2_123_Y, B => MX2_179_Y, S => BUFF_17_Y,
577
        Y => MX2_389_Y);
578
    MX2_405 : MX2
579
      port map(A => QBX_TEMPR10_11_net, B => QBX_TEMPR11_11_net,
580
        S => BUFF_39_Y, Y => MX2_405_Y);
581
    MX2_377 : MX2
582
      port map(A => MX2_29_Y, B => MX2_42_Y, S => BUFF_38_Y, Y =>
583
        MX2_377_Y);
584
    BUFF_7 : BUFF
585
      port map(A => ADDRB_FF2_1_net, Y => BUFF_7_Y);
586
    MX2_273 : MX2
587
      port map(A => MX2_230_Y, B => MX2_119_Y, S => BUFF_29_Y,
588
        Y => MX2_273_Y);
589
    NAND2_ENABLE_ADDRA_7_inst : NAND2
590
      port map(A => AND2_2_Y, B => AND2A_5_Y, Y =>
591
        ENABLE_ADDRA_7_net);
592
    MX2_408 : MX2
593
      port map(A => QBX_TEMPR6_12_net, B => QBX_TEMPR7_12_net,
594
        S => BUFF_24_Y, Y => MX2_408_Y);
595
    MX2_124 : MX2
596
      port map(A => QAX_TEMPR4_4_net, B => QAX_TEMPR5_4_net, S =>
597
        BUFF_22_Y, Y => MX2_124_Y);
598
    MX2_89 : MX2
599
      port map(A => QBX_TEMPR10_2_net, B => QBX_TEMPR11_2_net,
600
        S => BUFF_5_Y, Y => MX2_89_Y);
601
    MX2_37 : MX2
602
      port map(A => QAX_TEMPR8_6_net, B => QAX_TEMPR9_6_net, S =>
603
        BUFF_26_Y, Y => MX2_37_Y);
604
    MX2_54 : MX2
605
      port map(A => QAX_TEMPR8_10_net, B => QAX_TEMPR9_10_net,
606
        S => BUFF_20_Y, Y => MX2_54_Y);
607
    MX2_328 : MX2
608
      port map(A => QAX_TEMPR0_0_net, B => QAX_TEMPR1_0_net, S =>
609
        BUFF_18_Y, Y => MX2_328_Y);
610
    MX2_75 : MX2
611
      port map(A => QAX_TEMPR6_15_net, B => QAX_TEMPR7_15_net,
612
        S => BUFF_9_Y, Y => MX2_75_Y);
613
    dual_port_memory_R3C1 : RAM4K9
614
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
615
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
616
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
617
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
618
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
619
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
620
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
621
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
622
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
623
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
624
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
625
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
626
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
627
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
628
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
629
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
630
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
631
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
632
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
633
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_3_net,
634
        BLKB => BLKB_EN_3_net, WENA => RWA, WENB => RWB, CLKA =>
635
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
636
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
637
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR3_7_net, DOUTA2 =>
638
        QAX_TEMPR3_6_net, DOUTA1 => QAX_TEMPR3_5_net, DOUTA0 =>
639
        QAX_TEMPR3_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
640
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
641
        DOUTB3 => QBX_TEMPR3_7_net, DOUTB2 => QBX_TEMPR3_6_net,
642
        DOUTB1 => QBX_TEMPR3_5_net, DOUTB0 => QBX_TEMPR3_4_net);
643
    MX2_23 : MX2
644
      port map(A => QBX_TEMPR6_0_net, B => QBX_TEMPR7_0_net, S =>
645
        BUFF_23_Y, Y => MX2_23_Y);
646
    MX2_112 : MX2
647
      port map(A => QBX_TEMPR8_5_net, B => QBX_TEMPR9_5_net, S =>
648
        BUFF_6_Y, Y => MX2_112_Y);
649
    MX2_323 : MX2
650
      port map(A => QBX_TEMPR6_10_net, B => QBX_TEMPR7_10_net,
651
        S => BUFF_28_Y, Y => MX2_323_Y);
652
    MX2_296 : MX2
653
      port map(A => QAX_TEMPR2_13_net, B => QAX_TEMPR3_13_net,
654
        S => BUFF_37_Y, Y => MX2_296_Y);
655
    MX2_94 : MX2
656
      port map(A => QBX_TEMPR2_15_net, B => QBX_TEMPR3_15_net,
657
        S => BUFF_16_Y, Y => MX2_94_Y);
658
    MX2_65 : MX2
659
      port map(A => MX2_349_Y, B => QBX_TEMPR14_4_net, S =>
660
        BUFF_29_Y, Y => MX2_65_Y);
661
    AFF1_0_inst : DFN1
662
      port map(D => ADDRA(10), CLK => CLKA, Q => ADDRA_FF2_0_net);
663
    MX2_1 : MX2
664
      port map(A => QBX_TEMPR4_2_net, B => QBX_TEMPR5_2_net, S =>
665
        BUFF_33_Y, Y => MX2_1_Y);
666
    MX2_364 : MX2
667
      port map(A => MX2_99_Y, B => MX2_374_Y, S =>
668
        ADDRA_FF2_2_net, Y => MX2_364_Y);
669
    MX2_182 : MX2
670
      port map(A => QBX_TEMPR12_0_net, B => QBX_TEMPR13_0_net,
671
        S => BUFF_23_Y, Y => MX2_182_Y);
672
    MX2_414 : MX2
673
      port map(A => QBX_TEMPR2_2_net, B => QBX_TEMPR3_2_net, S =>
674
        BUFF_33_Y, Y => MX2_414_Y);
675
    MX2_278 : MX2
676
      port map(A => MX2_341_Y, B => MX2_257_Y, S => BUFF_1_Y,
677
        Y => MX2_278_Y);
678
    MX2_251 : MX2
679
      port map(A => QAX_TEMPR10_1_net, B => QAX_TEMPR11_1_net,
680
        S => BUFF_21_Y, Y => MX2_251_Y);
681
    MX2_257 : MX2
682
      port map(A => QBX_TEMPR6_8_net, B => QBX_TEMPR7_8_net, S =>
683
        BUFF_34_Y, Y => MX2_257_Y);
684
    BUFF_12 : BUFF
685
      port map(A => ADDRB_FF2_0_net, Y => BUFF_12_Y);
686
    MX2_176 : MX2
687
      port map(A => MX2_331_Y, B => MX2_405_Y, S => BUFF_19_Y,
688
        Y => MX2_176_Y);
689
    dual_port_memory_R7C2 : RAM4K9
690
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
691
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
692
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
693
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
694
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
695
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
696
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
697
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
698
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
699
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
700
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
701
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
702
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
703
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
704
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
705
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
706
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
707
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
708
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
709
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_7_net,
710
        BLKB => BLKB_EN_7_net, WENA => RWA, WENB => RWB, CLKA =>
711
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
712
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
713
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR7_11_net, DOUTA2 =>
714
        QAX_TEMPR7_10_net, DOUTA1 => QAX_TEMPR7_9_net, DOUTA0 =>
715
        QAX_TEMPR7_8_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
716
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
717
        DOUTB3 => QBX_TEMPR7_11_net, DOUTB2 => QBX_TEMPR7_10_net,
718
        DOUTB1 => QBX_TEMPR7_9_net, DOUTB0 => QBX_TEMPR7_8_net);
719
    ORA_GATE_12_inst : OR2
720
      port map(A => ENABLE_ADDRA_12_net, B => WEAP, Y =>
721
        BLKA_EN_12_net);
722
    MX2_220 : MX2
723
      port map(A => QAX_TEMPR6_12_net, B => QAX_TEMPR7_12_net,
724
        S => BUFF_37_Y, Y => MX2_220_Y);
725
    MX2_175 : MX2
726
      port map(A => MX2_67_Y, B => MX2_339_Y, S =>
727
        ADDRB_FF2_2_net, Y => MX2_175_Y);
728
    MX2_121 : MX2
729
      port map(A => QBX_TEMPR4_0_net, B => QBX_TEMPR5_0_net, S =>
730
        BUFF_23_Y, Y => MX2_121_Y);
731
    BUFF_33 : BUFF
732
      port map(A => ADDRB_FF2_0_net, Y => BUFF_33_Y);
733
    BUFF_31 : BUFF
734
      port map(A => ADDRA_FF2_1_net, Y => BUFF_31_Y);
735
    MX2_239 : MX2
736
      port map(A => QAX_TEMPR10_14_net, B => QAX_TEMPR11_14_net,
737
        S => BUFF_9_Y, Y => MX2_239_Y);
738
    MX2_DOUTB_10_inst : MX2
739
      port map(A => MX2_357_Y, B => MX2_69_Y, S =>
740
        ADDRB_FF2_3_net, Y => DOUTB(10));
741
    MX2_370 : MX2
742
      port map(A => MX2_107_Y, B => MX2_379_Y, S =>
743
        ADDRA_FF2_2_net, Y => MX2_370_Y);
744
    MX2_100 : MX2
745
      port map(A => QBX_TEMPR0_11_net, B => QBX_TEMPR1_11_net,
746
        S => BUFF_39_Y, Y => MX2_100_Y);
747
    MX2_DOUTB_4_inst : MX2
748
      port map(A => MX2_205_Y, B => MX2_368_Y, S =>
749
        ADDRB_FF2_3_net, Y => DOUTB(4));
750
    MX2_194 : MX2
751
      port map(A => MX2_252_Y, B => MX2_278_Y, S =>
752
        ADDRB_FF2_2_net, Y => MX2_194_Y);
753
    RESETBUBBLE : INV
754
      port map(A => RESET, Y => RESETP);
755
    BUFF_22 : BUFF
756
      port map(A => ADDRA_FF2_0_net, Y => BUFF_22_Y);
757
    ORB_GATE_6_inst : OR2
758
      port map(A => ENABLE_ADDRB_6_net, B => WEBP, Y =>
759
        BLKB_EN_6_net);
760
    MX2_398 : MX2
761
      port map(A => QAX_TEMPR0_1_net, B => QAX_TEMPR1_1_net, S =>
762
        BUFF_18_Y, Y => MX2_398_Y);
763
    MX2_225 : MX2
764
      port map(A => MX2_49_Y, B => MX2_184_Y, S =>
765
        ADDRB_FF2_2_net, Y => MX2_225_Y);
766
    MX2_393 : MX2
767
      port map(A => MX2_299_Y, B => MX2_76_Y, S => BUFF_1_Y, Y =>
768
        MX2_393_Y);
769
    MX2_147 : MX2
770
      port map(A => MX2_5_Y, B => MX2_377_Y, S => ADDRA_FF2_2_net,
771
        Y => MX2_147_Y);
772
    MX2_321 : MX2
773
      port map(A => MX2_406_Y, B => MX2_57_Y, S => BUFF_19_Y,
774
        Y => MX2_321_Y);
775
    MX2_0 : MX2
776
      port map(A => MX2_411_Y, B => MX2_85_Y, S =>
777
        ADDRB_FF2_2_net, Y => MX2_0_Y);
778
    MX2_DOUTB_3_inst : MX2
779
      port map(A => MX2_0_Y, B => MX2_232_Y, S => ADDRB_FF2_3_net,
780
        Y => DOUTB(3));
781
    BUFF_4 : BUFF
782
      port map(A => ADDRB_FF2_1_net, Y => BUFF_4_Y);
783
    MX2_337 : MX2
784
      port map(A => QAX_TEMPR2_8_net, B => QAX_TEMPR3_8_net, S =>
785
        BUFF_11_Y, Y => MX2_337_Y);
786
    MX2_233 : MX2
787
      port map(A => MX2_227_Y, B => MX2_321_Y, S =>
788
        ADDRB_FF2_2_net, Y => MX2_233_Y);
789
    MX2_21 : MX2
790
      port map(A => MX2_395_Y, B => MX2_274_Y, S => BUFF_36_Y,
791
        Y => MX2_21_Y);
792
    MX2_14 : MX2
793
      port map(A => QBX_TEMPR10_8_net, B => QBX_TEMPR11_8_net,
794
        S => BUFF_34_Y, Y => MX2_14_Y);
795
    MX2_33 : MX2
796
      port map(A => QAX_TEMPR4_9_net, B => QAX_TEMPR5_9_net, S =>
797
        BUFF_11_Y, Y => MX2_33_Y);
798
    MX2_290 : MX2
799
      port map(A => QAX_TEMPR4_11_net, B => QAX_TEMPR5_11_net,
800
        S => BUFF_14_Y, Y => MX2_290_Y);
801
    MX2_129 : MX2
802
      port map(A => QAX_TEMPR4_10_net, B => QAX_TEMPR5_10_net,
803
        S => BUFF_20_Y, Y => MX2_129_Y);
804
    MX2_28 : MX2
805
      port map(A => MX2_228_Y, B => MX2_132_Y, S => BUFF_35_Y,
806
        Y => MX2_28_Y);
807
    MX2_191 : MX2
808
      port map(A => MX2_1_Y, B => MX2_87_Y, S => BUFF_7_Y, Y =>
809
        MX2_191_Y);
810
    dual_port_memory_R9C2 : RAM4K9
811
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
812
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
813
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
814
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
815
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
816
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
817
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
818
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
819
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
820
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
821
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
822
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
823
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
824
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
825
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
826
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
827
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
828
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
829
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
830
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_9_net,
831
        BLKB => BLKB_EN_9_net, WENA => RWA, WENB => RWB, CLKA =>
832
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
833
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
834
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR9_11_net, DOUTA2 =>
835
        QAX_TEMPR9_10_net, DOUTA1 => QAX_TEMPR9_9_net, DOUTA0 =>
836
        QAX_TEMPR9_8_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
837
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
838
        DOUTB3 => QBX_TEMPR9_11_net, DOUTB2 => QBX_TEMPR9_10_net,
839
        DOUTB1 => QBX_TEMPR9_9_net, DOUTB0 => QBX_TEMPR9_8_net);
840
    MX2_DOUTA_2_inst : MX2
841
      port map(A => MX2_183_Y, B => MX2_326_Y, S =>
842
        ADDRA_FF2_3_net, Y => DOUTA(2));
843
    MX2_160 : MX2
844
      port map(A => QBX_TEMPR4_15_net, B => QBX_TEMPR5_15_net,
845
        S => BUFF_16_Y, Y => MX2_160_Y);
846
    MX2_325 : MX2
847
      port map(A => QBX_TEMPR12_7_net, B => QBX_TEMPR13_7_net,
848
        S => BUFF_3_Y, Y => MX2_325_Y);
849
    MX2_DOUTB_9_inst : MX2
850
      port map(A => MX2_347_Y, B => MX2_188_Y, S =>
851
        ADDRB_FF2_3_net, Y => DOUTB(9));
852
    NAND2_ENABLE_ADDRA_8_inst : NAND2
853
      port map(A => NOR2_2_Y, B => AND2A_3_Y, Y =>
854
        ENABLE_ADDRA_8_net);
855
    MX2_238 : MX2
856
      port map(A => QBX_TEMPR6_13_net, B => QBX_TEMPR7_13_net,
857
        S => BUFF_2_Y, Y => MX2_238_Y);
858
    MX2_295 : MX2
859
      port map(A => QAX_TEMPR10_9_net, B => QAX_TEMPR11_9_net,
860
        S => BUFF_20_Y, Y => MX2_295_Y);
861
    MX2_391 : MX2
862
      port map(A => QBX_TEMPR4_14_net, B => QBX_TEMPR5_14_net,
863
        S => BUFF_2_Y, Y => MX2_391_Y);
864
    MX2_50 : MX2
865
      port map(A => QAX_TEMPR8_3_net, B => QAX_TEMPR9_3_net, S =>
866
        BUFF_0_Y, Y => MX2_50_Y);
867
    MX2_7 : MX2
868
      port map(A => QBX_TEMPR8_12_net, B => QBX_TEMPR9_12_net,
869
        S => BUFF_24_Y, Y => MX2_7_Y);
870
    MX2_219 : MX2
871
      port map(A => MX2_27_Y, B => MX2_4_Y, S => BUFF_29_Y, Y =>
872
        MX2_219_Y);
873
    MX2_374 : MX2
874
      port map(A => MX2_285_Y, B => MX2_113_Y, S => BUFF_17_Y,
875
        Y => MX2_374_Y);
876
    AND2A_7 : AND2A
877
      port map(A => ADDRB(10), B => ADDRB(11), Y => AND2A_7_Y);
878
    MX2_403 : MX2
879
      port map(A => QAX_TEMPR12_1_net, B => QAX_TEMPR13_1_net,
880
        S => BUFF_21_Y, Y => MX2_403_Y);
881
    MX2_136 : MX2
882
      port map(A => MX2_50_Y, B => MX2_338_Y, S => BUFF_17_Y,
883
        Y => MX2_136_Y);
884
    BUFF_16 : BUFF
885
      port map(A => ADDRB_FF2_0_net, Y => BUFF_16_Y);
886
    NOR2_2 : NOR2
887
      port map(A => ADDRA(11), B => ADDRA(10), Y => NOR2_2_Y);
888
    MX2_90 : MX2
889
      port map(A => QAX_TEMPR6_7_net, B => QAX_TEMPR7_7_net, S =>
890
        BUFF_10_Y, Y => MX2_90_Y);
891
    MX2_135 : MX2
892
      port map(A => QAX_TEMPR0_3_net, B => QAX_TEMPR1_3_net, S =>
893
        BUFF_0_Y, Y => MX2_135_Y);
894
    MX2_6 : MX2
895
      port map(A => QBX_TEMPR10_15_net, B => QBX_TEMPR11_15_net,
896
        S => BUFF_16_Y, Y => MX2_6_Y);
897
    NOR2_3 : NOR2
898
      port map(A => ADDRA(13), B => ADDRA(12), Y => NOR2_3_Y);
899
    MX2_289 : MX2
900
      port map(A => MX2_267_Y, B => MX2_203_Y, S => BUFF_36_Y,
901
        Y => MX2_289_Y);
902
    NAND2_ENABLE_ADDRA_2_inst : NAND2
903
      port map(A => AND2A_2_Y, B => NOR2_3_Y, Y =>
904
        ENABLE_ADDRA_2_net);
905
    MX2_DOUTA_3_inst : MX2
906
      port map(A => MX2_364_Y, B => MX2_44_Y, S =>
907
        ADDRA_FF2_3_net, Y => DOUTA(3));
908
    dual_port_memory_R11C2 : RAM4K9
909
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
910
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
911
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
912
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
913
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
914
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
915
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
916
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
917
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
918
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
919
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
920
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
921
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
922
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
923
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
924
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
925
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
926
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
927
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
928
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_11_net,
929
        BLKB => BLKB_EN_11_net, WENA => RWA, WENB => RWB, CLKA =>
930
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
931
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
932
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR11_11_net, DOUTA2 =>
933
        QAX_TEMPR11_10_net, DOUTA1 => QAX_TEMPR11_9_net,
934
        DOUTA0 => QAX_TEMPR11_8_net, DOUTB8 => OPEN , DOUTB7 =>
935
        OPEN , DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
936
        DOUTB3 => QBX_TEMPR11_11_net, DOUTB2 =>
937
        QBX_TEMPR11_10_net, DOUTB1 => QBX_TEMPR11_9_net,
938
        DOUTB0 => QBX_TEMPR11_8_net);
939
    MX2_330 : MX2
940
      port map(A => MX2_275_Y, B => MX2_279_Y, S => BUFF_19_Y,
941
        Y => MX2_330_Y);
942
    BUFF_26 : BUFF
943
      port map(A => ADDRA_FF2_0_net, Y => BUFF_26_Y);
944
    MX2_199 : MX2
945
      port map(A => MX2_148_Y, B => MX2_248_Y, S => BUFF_31_Y,
946
        Y => MX2_199_Y);
947
    MX2_401 : MX2
948
      port map(A => QBX_TEMPR2_9_net, B => QBX_TEMPR3_9_net, S =>
949
        BUFF_34_Y, Y => MX2_401_Y);
950
    MX2_317 : MX2
951
      port map(A => QAX_TEMPR0_4_net, B => QAX_TEMPR1_4_net, S =>
952
        BUFF_22_Y, Y => MX2_317_Y);
953
    NAND2_ENABLE_ADDRB_14_inst : NAND2
954
      port map(A => AND2A_7_Y, B => AND2_0_Y, Y =>
955
        ENABLE_ADDRB_14_net);
956
    MX2_222 : MX2
957
      port map(A => MX2_353_Y, B => MX2_329_Y, S =>
958
        ADDRB_FF2_2_net, Y => MX2_222_Y);
959
    MX2_213 : MX2
960
      port map(A => MX2_182_Y, B => QBX_TEMPR14_0_net, S =>
961
        BUFF_36_Y, Y => MX2_213_Y);
962
    MX2_42 : MX2
963
      port map(A => QAX_TEMPR6_1_net, B => QAX_TEMPR7_1_net, S =>
964
        BUFF_21_Y, Y => MX2_42_Y);
965
    NAND2_ENABLE_ADDRB_6_inst : NAND2
966
      port map(A => AND2A_7_Y, B => AND2A_0_Y, Y =>
967
        ENABLE_ADDRB_6_net);
968
    MX2_128 : MX2
969
      port map(A => MX2_413_Y, B => MX2_365_Y, S =>
970
        ADDRA_FF2_2_net, Y => MX2_128_Y);
971
    dual_port_memory_R3C2 : RAM4K9
972
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
973
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
974
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
975
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
976
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
977
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
978
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
979
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
980
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
981
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
982
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
983
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
984
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
985
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
986
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
987
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
988
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
989
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
990
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
991
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_3_net,
992
        BLKB => BLKB_EN_3_net, WENA => RWA, WENB => RWB, CLKA =>
993
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
994
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
995
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR3_11_net, DOUTA2 =>
996
        QAX_TEMPR3_10_net, DOUTA1 => QAX_TEMPR3_9_net, DOUTA0 =>
997
        QAX_TEMPR3_8_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
998
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
999
        DOUTB3 => QBX_TEMPR3_11_net, DOUTB2 => QBX_TEMPR3_10_net,
1000
        DOUTB1 => QBX_TEMPR3_9_net, DOUTB0 => QBX_TEMPR3_8_net);
1001
    MX2_387 : MX2
1002
      port map(A => MX2_33_Y, B => MX2_48_Y, S => BUFF_31_Y, Y =>
1003
        MX2_387_Y);
1004
    BUFF_37 : BUFF
1005
      port map(A => ADDRA_FF2_0_net, Y => BUFF_37_Y);
1006
    MX2_31 : MX2
1007
      port map(A => QAX_TEMPR6_5_net, B => QAX_TEMPR7_5_net, S =>
1008
        BUFF_26_Y, Y => MX2_31_Y);
1009
    MX2_395 : MX2
1010
      port map(A => QBX_TEMPR0_0_net, B => QBX_TEMPR1_0_net, S =>
1011
        BUFF_23_Y, Y => MX2_395_Y);
1012
    MX2_283 : MX2
1013
      port map(A => QBX_TEMPR0_3_net, B => QBX_TEMPR1_3_net, S =>
1014
        BUFF_5_Y, Y => MX2_283_Y);
1015
    MX2_85 : MX2
1016
      port map(A => MX2_190_Y, B => MX2_236_Y, S => BUFF_7_Y,
1017
        Y => MX2_85_Y);
1018
    MX2_DOUTA_14_inst : MX2
1019
      port map(A => MX2_156_Y, B => MX2_412_Y, S =>
1020
        ADDRA_FF2_3_net, Y => DOUTA(14));
1021
    MX2_56 : MX2
1022
      port map(A => QBX_TEMPR12_10_net, B => QBX_TEMPR13_10_net,
1023
        S => BUFF_39_Y, Y => MX2_56_Y);
1024
    MX2_DOUTB_15_inst : MX2
1025
      port map(A => MX2_390_Y, B => MX2_286_Y, S =>
1026
        ADDRB_FF2_3_net, Y => DOUTB(15));
1027
    MX2_38 : MX2
1028
      port map(A => QBX_TEMPR4_13_net, B => QBX_TEMPR5_13_net,
1029
        S => BUFF_24_Y, Y => MX2_38_Y);
1030
    MX2_107 : MX2
1031
      port map(A => MX2_140_Y, B => MX2_304_Y, S => BUFF_32_Y,
1032
        Y => MX2_107_Y);
1033
    ORA_GATE_9_inst : OR2
1034
      port map(A => ENABLE_ADDRA_9_net, B => WEAP, Y =>
1035
        BLKA_EN_9_net);
1036
    MX2_256 : MX2
1037
      port map(A => QBX_TEMPR0_15_net, B => QBX_TEMPR1_15_net,
1038
        S => BUFF_16_Y, Y => MX2_256_Y);
1039
    MX2_96 : MX2
1040
      port map(A => QAX_TEMPR12_0_net, B => QAX_TEMPR13_0_net,
1041
        S => BUFF_18_Y, Y => MX2_96_Y);
1042
    MX2_218 : MX2
1043
      port map(A => QAX_TEMPR10_7_net, B => QAX_TEMPR11_7_net,
1044
        S => BUFF_10_Y, Y => MX2_218_Y);
1045
    NAND2_ENABLE_ADDRB_4_inst : NAND2
1046
      port map(A => NOR2_1_Y, B => AND2A_0_Y, Y =>
1047
        ENABLE_ADDRB_4_net);
1048
    MX2_74 : MX2
1049
      port map(A => QBX_TEMPR4_12_net, B => QBX_TEMPR5_12_net,
1050
        S => BUFF_24_Y, Y => MX2_74_Y);
1051
    AND2_0 : AND2
1052
      port map(A => ADDRB(13), B => ADDRB(12), Y => AND2_0_Y);
1053
    dual_port_memory_R2C1 : RAM4K9
1054
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1055
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1056
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1057
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1058
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1059
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1060
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1061
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1062
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1063
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1064
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1065
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
1066
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
1067
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1068
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1069
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
1070
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
1071
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1072
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1073
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_2_net,
1074
        BLKB => BLKB_EN_2_net, WENA => RWA, WENB => RWB, CLKA =>
1075
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1076
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1077
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR2_7_net, DOUTA2 =>
1078
        QAX_TEMPR2_6_net, DOUTA1 => QAX_TEMPR2_5_net, DOUTA0 =>
1079
        QAX_TEMPR2_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1080
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1081
        DOUTB3 => QBX_TEMPR2_7_net, DOUTB2 => QBX_TEMPR2_6_net,
1082
        DOUTB1 => QBX_TEMPR2_5_net, DOUTB0 => QBX_TEMPR2_4_net);
1083
    MX2_116 : MX2
1084
      port map(A => MX2_164_Y, B => QBX_TEMPR14_9_net, S =>
1085
        BUFF_1_Y, Y => MX2_116_Y);
1086
    MX2_64 : MX2
1087
      port map(A => MX2_292_Y, B => MX2_196_Y, S => BUFF_29_Y,
1088
        Y => MX2_64_Y);
1089
    MX2_288 : MX2
1090
      port map(A => MX2_138_Y, B => MX2_199_Y, S =>
1091
        ADDRA_FF2_2_net, Y => MX2_288_Y);
1092
    MX2_10 : MX2
1093
      port map(A => QBX_TEMPR4_7_net, B => QBX_TEMPR5_7_net, S =>
1094
        BUFF_3_Y, Y => MX2_10_Y);
1095
    MX2_115 : MX2
1096
      port map(A => QBX_TEMPR12_1_net, B => QBX_TEMPR13_1_net,
1097
        S => BUFF_33_Y, Y => MX2_115_Y);
1098
    MX2_170 : MX2
1099
      port map(A => MX2_384_Y, B => MX2_371_Y, S =>
1100
        ADDRA_FF2_2_net, Y => MX2_170_Y);
1101
    MX2_292 : MX2
1102
      port map(A => QBX_TEMPR8_4_net, B => QBX_TEMPR9_4_net, S =>
1103
        BUFF_12_Y, Y => MX2_292_Y);
1104
    MX2_224 : MX2
1105
      port map(A => QBX_TEMPR10_3_net, B => QBX_TEMPR11_3_net,
1106
        S => BUFF_5_Y, Y => MX2_224_Y);
1107
    MX2_49 : MX2
1108
      port map(A => MX2_272_Y, B => MX2_14_Y, S => BUFF_1_Y, Y =>
1109
        MX2_49_Y);
1110
    MX2_241 : MX2
1111
      port map(A => QBX_TEMPR8_13_net, B => QBX_TEMPR9_13_net,
1112
        S => BUFF_2_Y, Y => MX2_241_Y);
1113
    MX2_247 : MX2
1114
      port map(A => QBX_TEMPR10_0_net, B => QBX_TEMPR11_0_net,
1115
        S => BUFF_23_Y, Y => MX2_247_Y);
1116
    NAND2_ENABLE_ADDRA_3_inst : NAND2
1117
      port map(A => AND2_2_Y, B => NOR2_3_Y, Y =>
1118
        ENABLE_ADDRA_3_net);
1119
    MX2_334 : MX2
1120
      port map(A => QAX_TEMPR12_5_net, B => QAX_TEMPR13_5_net,
1121
        S => BUFF_26_Y, Y => MX2_334_Y);
1122
    MX2_186 : MX2
1123
      port map(A => MX2_400_Y, B => MX2_392_Y, S =>
1124
        ADDRA_FF2_2_net, Y => MX2_186_Y);
1125
    MX2_310 : MX2
1126
      port map(A => QAX_TEMPR2_2_net, B => QAX_TEMPR3_2_net, S =>
1127
        BUFF_21_Y, Y => MX2_310_Y);
1128
    MX2_198 : MX2
1129
      port map(A => QBX_TEMPR12_11_net, B => QBX_TEMPR13_11_net,
1130
        S => BUFF_39_Y, Y => MX2_198_Y);
1131
    MX2_185 : MX2
1132
      port map(A => QAX_TEMPR6_10_net, B => QAX_TEMPR7_10_net,
1133
        S => BUFF_20_Y, Y => MX2_185_Y);
1134
    MX2_407 : MX2
1135
      port map(A => MX2_234_Y, B => MX2_323_Y, S => BUFF_19_Y,
1136
        Y => MX2_407_Y);
1137
    MX2_154 : MX2
1138
      port map(A => QAX_TEMPR6_14_net, B => QAX_TEMPR7_14_net,
1139
        S => BUFF_15_Y, Y => MX2_154_Y);
1140
    NAND2_ENABLE_ADDRA_14_inst : NAND2
1141
      port map(A => AND2A_2_Y, B => AND2_3_Y, Y =>
1142
        ENABLE_ADDRA_14_net);
1143
    NAND2_ENABLE_ADDRB_1_inst : NAND2
1144
      port map(A => AND2A_1_Y, B => NOR2_0_Y, Y =>
1145
        ENABLE_ADDRB_1_net);
1146
    MX2_DOUTA_5_inst : MX2
1147
      port map(A => MX2_128_Y, B => MX2_237_Y, S =>
1148
        ADDRA_FF2_3_net, Y => DOUTA(5));
1149
    NAND2_ENABLE_ADDRA_1_inst : NAND2
1150
      port map(A => AND2A_4_Y, B => NOR2_3_Y, Y =>
1151
        ENABLE_ADDRA_1_net);
1152
    MX2_380 : MX2
1153
      port map(A => MX2_177_Y, B => MX2_320_Y, S =>
1154
        ADDRA_FF2_2_net, Y => MX2_380_Y);
1155
    MX2_358 : MX2
1156
      port map(A => QAX_TEMPR10_15_net, B => QAX_TEMPR11_15_net,
1157
        S => BUFF_9_Y, Y => MX2_358_Y);
1158
    NAND2_ENABLE_ADDRA_9_inst : NAND2
1159
      port map(A => AND2A_4_Y, B => AND2A_3_Y, Y =>
1160
        ENABLE_ADDRA_9_net);
1161
    MX2_353 : MX2
1162
      port map(A => MX2_293_Y, B => MX2_32_Y, S => BUFF_25_Y,
1163
        Y => MX2_353_Y);
1164
    MX2_167 : MX2
1165
      port map(A => MX2_97_Y, B => MX2_220_Y, S => BUFF_8_Y, Y =>
1166
        MX2_167_Y);
1167
    BUFF_6 : BUFF
1168
      port map(A => ADDRB_FF2_0_net, Y => BUFF_6_Y);
1169
    MX2_DOUTB_6_inst : MX2
1170
      port map(A => MX2_385_Y, B => MX2_222_Y, S =>
1171
        ADDRB_FF2_3_net, Y => DOUTB(6));
1172
    MX2_DOUTA_1_inst : MX2
1173
      port map(A => MX2_147_Y, B => MX2_354_Y, S =>
1174
        ADDRA_FF2_3_net, Y => DOUTA(1));
1175
    MX2_406 : MX2
1176
      port map(A => QBX_TEMPR4_11_net, B => QBX_TEMPR5_11_net,
1177
        S => BUFF_39_Y, Y => MX2_406_Y);
1178
    ORB_GATE_5_inst : OR2
1179
      port map(A => ENABLE_ADDRB_5_net, B => WEBP, Y =>
1180
        BLKB_EN_5_net);
1181
    MX2_16 : MX2
1182
      port map(A => QAX_TEMPR6_13_net, B => QAX_TEMPR7_13_net,
1183
        S => BUFF_15_Y, Y => MX2_16_Y);
1184
    MX2_294 : MX2
1185
      port map(A => QAX_TEMPR12_15_net, B => QAX_TEMPR13_15_net,
1186
        S => BUFF_9_Y, Y => MX2_294_Y);
1187
    MX2_250 : MX2
1188
      port map(A => QBX_TEMPR2_6_net, B => QBX_TEMPR3_6_net, S =>
1189
        BUFF_6_Y, Y => MX2_250_Y);
1190
    BUFF_39 : BUFF
1191
      port map(A => ADDRB_FF2_0_net, Y => BUFF_39_Y);
1192
    MX2_151 : MX2
1193
      port map(A => MX2_79_Y, B => MX2_221_Y, S =>
1194
        ADDRA_FF2_2_net, Y => MX2_151_Y);
1195
    MX2_326 : MX2
1196
      port map(A => MX2_174_Y, B => MX2_15_Y, S =>
1197
        ADDRA_FF2_2_net, Y => MX2_326_Y);
1198
    ORA_GATE_1_inst : OR2
1199
      port map(A => ENABLE_ADDRA_1_net, B => WEAP, Y =>
1200
        BLKA_EN_1_net);
1201
    MX2_57 : MX2
1202
      port map(A => QBX_TEMPR6_11_net, B => QBX_TEMPR7_11_net,
1203
        S => BUFF_39_Y, Y => MX2_57_Y);
1204
    dual_port_memory_R8C0 : RAM4K9
1205
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1206
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1207
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1208
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1209
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1210
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1211
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1212
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1213
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1214
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1215
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1216
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
1217
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
1218
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1219
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1220
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
1221
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
1222
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1223
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1224
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_8_net,
1225
        BLKB => BLKB_EN_8_net, WENA => RWA, WENB => RWB, CLKA =>
1226
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1227
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1228
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR8_3_net, DOUTA2 =>
1229
        QAX_TEMPR8_2_net, DOUTA1 => QAX_TEMPR8_1_net, DOUTA0 =>
1230
        QAX_TEMPR8_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1231
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1232
        DOUTB3 => QBX_TEMPR8_3_net, DOUTB2 => QBX_TEMPR8_2_net,
1233
        DOUTB1 => QBX_TEMPR8_1_net, DOUTB0 => QBX_TEMPR8_0_net);
1234
    dual_port_memory_R8C2 : RAM4K9
1235
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1236
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1237
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1238
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1239
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1240
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1241
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1242
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1243
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1244
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1245
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1246
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
1247
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
1248
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1249
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1250
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
1251
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
1252
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1253
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1254
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_8_net,
1255
        BLKB => BLKB_EN_8_net, WENA => RWA, WENB => RWB, CLKA =>
1256
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1257
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1258
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR8_11_net, DOUTA2 =>
1259
        QAX_TEMPR8_10_net, DOUTA1 => QAX_TEMPR8_9_net, DOUTA0 =>
1260
        QAX_TEMPR8_8_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1261
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1262
        DOUTB3 => QBX_TEMPR8_11_net, DOUTB2 => QBX_TEMPR8_10_net,
1263
        DOUTB1 => QBX_TEMPR8_9_net, DOUTB0 => QBX_TEMPR8_8_net);
1264
    MX2_DOUTB_0_inst : MX2
1265
      port map(A => MX2_352_Y, B => MX2_258_Y, S =>
1266
        ADDRB_FF2_3_net, Y => DOUTB(0));
1267
    MX2_8 : MX2
1268
      port map(A => QBX_TEMPR8_14_net, B => QBX_TEMPR9_14_net,
1269
        S => BUFF_2_Y, Y => MX2_8_Y);
1270
    MX2_314 : MX2
1271
      port map(A => QAX_TEMPR2_10_net, B => QAX_TEMPR3_10_net,
1272
        S => BUFF_20_Y, Y => MX2_314_Y);
1273
    MX2_255 : MX2
1274
      port map(A => QBX_TEMPR4_6_net, B => QBX_TEMPR5_6_net, S =>
1275
        BUFF_6_Y, Y => MX2_255_Y);
1276
    dual_port_memory_R0C2 : RAM4K9
1277
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1278
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1279
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1280
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1281
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1282
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1283
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1284
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1285
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1286
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1287
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1288
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
1289
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
1290
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1291
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1292
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
1293
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
1294
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1295
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1296
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_0_net,
1297
        BLKB => BLKB_EN_0_net, WENA => RWA, WENB => RWB, CLKA =>
1298
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1299
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1300
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR0_11_net, DOUTA2 =>
1301
        QAX_TEMPR0_10_net, DOUTA1 => QAX_TEMPR0_9_net, DOUTA0 =>
1302
        QAX_TEMPR0_8_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1303
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1304
        DOUTB3 => QBX_TEMPR0_11_net, DOUTB2 => QBX_TEMPR0_10_net,
1305
        DOUTB1 => QBX_TEMPR0_9_net, DOUTB0 => QBX_TEMPR0_8_net);
1306
    MX2_351 : MX2
1307
      port map(A => MX2_82_Y, B => MX2_362_Y, S =>
1308
        ADDRA_FF2_2_net, Y => MX2_351_Y);
1309
    MX2_97 : MX2
1310
      port map(A => QAX_TEMPR4_12_net, B => QAX_TEMPR5_12_net,
1311
        S => BUFF_37_Y, Y => MX2_97_Y);
1312
    BUFF_13 : BUFF
1313
      port map(A => ADDRB_FF2_1_net, Y => BUFF_13_Y);
1314
    BUFF_11 : BUFF
1315
      port map(A => ADDRA_FF2_0_net, Y => BUFF_11_Y);
1316
    dual_port_memory_R5C1 : RAM4K9
1317
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1318
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1319
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1320
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1321
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1322
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1323
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1324
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1325
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1326
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1327
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1328
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
1329
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
1330
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1331
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1332
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
1333
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
1334
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1335
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1336
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_5_net,
1337
        BLKB => BLKB_EN_5_net, WENA => RWA, WENB => RWB, CLKA =>
1338
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1339
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1340
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR5_7_net, DOUTA2 =>
1341
        QAX_TEMPR5_6_net, DOUTA1 => QAX_TEMPR5_5_net, DOUTA0 =>
1342
        QAX_TEMPR5_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1343
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1344
        DOUTB3 => QBX_TEMPR5_7_net, DOUTB2 => QBX_TEMPR5_6_net,
1345
        DOUTB1 => QBX_TEMPR5_5_net, DOUTB0 => QBX_TEMPR5_4_net);
1346
    ORA_GATE_0_inst : OR2
1347
      port map(A => ENABLE_ADDRA_0_net, B => WEAP, Y =>
1348
        BLKA_EN_0_net);
1349
    ORB_GATE_2_inst : OR2
1350
      port map(A => ENABLE_ADDRB_2_net, B => WEBP, Y =>
1351
        BLKB_EN_2_net);
1352
    AND2A_2 : AND2A
1353
      port map(A => ADDRA(10), B => ADDRA(11), Y => AND2A_2_Y);
1354
    MX2_130 : MX2
1355
      port map(A => MX2_328_Y, B => MX2_332_Y, S => BUFF_38_Y,
1356
        Y => MX2_130_Y);
1357
    dual_port_memory_R11C0 : RAM4K9
1358
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1359
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1360
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1361
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1362
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1363
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1364
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1365
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1366
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1367
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1368
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1369
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
1370
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
1371
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1372
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1373
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
1374
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
1375
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1376
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1377
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_11_net,
1378
        BLKB => BLKB_EN_11_net, WENA => RWA, WENB => RWB, CLKA =>
1379
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1380
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1381
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR11_3_net, DOUTA2 =>
1382
        QAX_TEMPR11_2_net, DOUTA1 => QAX_TEMPR11_1_net, DOUTA0 =>
1383
        QAX_TEMPR11_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1384
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1385
        DOUTB3 => QBX_TEMPR11_3_net, DOUTB2 => QBX_TEMPR11_2_net,
1386
        DOUTB1 => QBX_TEMPR11_1_net, DOUTB0 => QBX_TEMPR11_0_net);
1387
    MX2_384 : MX2
1388
      port map(A => MX2_146_Y, B => MX2_301_Y, S => BUFF_27_Y,
1389
        Y => MX2_384_Y);
1390
    MX2_322 : MX2
1391
      port map(A => QAX_TEMPR2_4_net, B => QAX_TEMPR3_4_net, S =>
1392
        BUFF_22_Y, Y => MX2_322_Y);
1393
    MX2_123 : MX2
1394
      port map(A => QAX_TEMPR4_2_net, B => QAX_TEMPR5_2_net, S =>
1395
        BUFF_21_Y, Y => MX2_123_Y);
1396
    dual_port_memory_R8C1 : RAM4K9
1397
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1398
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1399
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1400
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1401
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1402
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1403
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1404
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1405
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1406
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1407
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1408
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
1409
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
1410
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1411
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1412
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
1413
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
1414
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1415
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1416
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_8_net,
1417
        BLKB => BLKB_EN_8_net, WENA => RWA, WENB => RWB, CLKA =>
1418
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1419
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1420
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR8_7_net, DOUTA2 =>
1421
        QAX_TEMPR8_6_net, DOUTA1 => QAX_TEMPR8_5_net, DOUTA0 =>
1422
        QAX_TEMPR8_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1423
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1424
        DOUTB3 => QBX_TEMPR8_7_net, DOUTB2 => QBX_TEMPR8_6_net,
1425
        DOUTB1 => QBX_TEMPR8_5_net, DOUTB0 => QBX_TEMPR8_4_net);
1426
    AND2A_1 : AND2A
1427
      port map(A => ADDRB(11), B => ADDRB(10), Y => AND2A_1_Y);
1428
    MX2_415 : MX2
1429
      port map(A => MX2_315_Y, B => MX2_109_Y, S => BUFF_29_Y,
1430
        Y => MX2_415_Y);
1431
    MX2_70 : MX2
1432
      port map(A => MX2_91_Y, B => MX2_280_Y, S => BUFF_30_Y,
1433
        Y => MX2_70_Y);
1434
    ORB_GATE_14_inst : OR2
1435
      port map(A => ENABLE_ADDRB_14_net, B => WEBP, Y =>
1436
        BLKB_EN_14_net);
1437
    MX2_329 : MX2
1438
      port map(A => MX2_211_Y, B => QBX_TEMPR14_6_net, S =>
1439
        BUFF_25_Y, Y => MX2_329_Y);
1440
    BUFF_23 : BUFF
1441
      port map(A => ADDRB_FF2_0_net, Y => BUFF_23_Y);
1442
    BUFF_21 : BUFF
1443
      port map(A => ADDRA_FF2_0_net, Y => BUFF_21_Y);
1444
    BFF1_3_inst : DFN1
1445
      port map(D => ADDRB(13), CLK => CLKB, Q => ADDRB_FF2_3_net);
1446
    MX2_60 : MX2
1447
      port map(A => MX2_302_Y, B => QBX_TEMPR14_15_net, S =>
1448
        BUFF_13_Y, Y => MX2_60_Y);
1449
    MX2_159 : MX2
1450
      port map(A => MX2_198_Y, B => QBX_TEMPR14_11_net, S =>
1451
        BUFF_19_Y, Y => MX2_159_Y);
1452
    MX2_396 : MX2
1453
      port map(A => QBX_TEMPR10_13_net, B => QBX_TEMPR11_13_net,
1454
        S => BUFF_2_Y, Y => MX2_396_Y);
1455
    MX2_201 : MX2
1456
      port map(A => MX2_355_Y, B => MX2_171_Y, S => BUFF_25_Y,
1457
        Y => MX2_201_Y);
1458
    MX2_207 : MX2
1459
      port map(A => QAX_TEMPR12_13_net, B => QAX_TEMPR13_13_net,
1460
        S => BUFF_15_Y, Y => MX2_207_Y);
1461
    ORA_GATE_4_inst : OR2
1462
      port map(A => ENABLE_ADDRA_4_net, B => WEAP, Y =>
1463
        BLKA_EN_4_net);
1464
    MX2_355 : MX2
1465
      port map(A => QBX_TEMPR8_7_net, B => QBX_TEMPR9_7_net, S =>
1466
        BUFF_3_Y, Y => MX2_355_Y);
1467
    MX2_177 : MX2
1468
      port map(A => MX2_54_Y, B => MX2_266_Y, S => BUFF_32_Y,
1469
        Y => MX2_177_Y);
1470
    NAND2_ENABLE_ADDRB_2_inst : NAND2
1471
      port map(A => AND2A_7_Y, B => NOR2_0_Y, Y =>
1472
        ENABLE_ADDRB_2_net);
1473
    MX2_84 : MX2
1474
      port map(A => QBX_TEMPR2_8_net, B => QBX_TEMPR3_8_net, S =>
1475
        BUFF_34_Y, Y => MX2_84_Y);
1476
    ORA_GATE_14_inst : OR2
1477
      port map(A => ENABLE_ADDRA_14_net, B => WEAP, Y =>
1478
        BLKA_EN_14_net);
1479
    MX2_392 : MX2
1480
      port map(A => MX2_129_Y, B => MX2_185_Y, S => BUFF_32_Y,
1481
        Y => MX2_392_Y);
1482
    dual_port_memory_R6C2 : RAM4K9
1483
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1484
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1485
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1486
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1487
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1488
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1489
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1490
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1491
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1492
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1493
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1494
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
1495
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
1496
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1497
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1498
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
1499
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
1500
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1501
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1502
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_6_net,
1503
        BLKB => BLKB_EN_6_net, WENA => RWA, WENB => RWB, CLKA =>
1504
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1505
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1506
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR6_11_net, DOUTA2 =>
1507
        QAX_TEMPR6_10_net, DOUTA1 => QAX_TEMPR6_9_net, DOUTA0 =>
1508
        QAX_TEMPR6_8_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1509
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1510
        DOUTB3 => QBX_TEMPR6_11_net, DOUTB2 => QBX_TEMPR6_10_net,
1511
        DOUTB1 => QBX_TEMPR6_9_net, DOUTB0 => QBX_TEMPR6_8_net);
1512
    ORB_GATE_7_inst : OR2
1513
      port map(A => ENABLE_ADDRB_7_net, B => WEBP, Y =>
1514
        BLKB_EN_7_net);
1515
    MX2_193 : MX2
1516
      port map(A => QAX_TEMPR8_0_net, B => QAX_TEMPR9_0_net, S =>
1517
        BUFF_18_Y, Y => MX2_193_Y);
1518
    dual_port_memory_R6C1 : RAM4K9
1519
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1520
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1521
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1522
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1523
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1524
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1525
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1526
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1527
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1528
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1529
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1530
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
1531
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
1532
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1533
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1534
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
1535
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
1536
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1537
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1538
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_6_net,
1539
        BLKB => BLKB_EN_6_net, WENA => RWA, WENB => RWB, CLKA =>
1540
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1541
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1542
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR6_7_net, DOUTA2 =>
1543
        QAX_TEMPR6_6_net, DOUTA1 => QAX_TEMPR6_5_net, DOUTA0 =>
1544
        QAX_TEMPR6_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1545
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1546
        DOUTB3 => QBX_TEMPR6_7_net, DOUTB2 => QBX_TEMPR6_6_net,
1547
        DOUTB1 => QBX_TEMPR6_5_net, DOUTB0 => QBX_TEMPR6_4_net);
1548
    AFF1_2_inst : DFN1
1549
      port map(D => ADDRA(12), CLK => CLKA, Q => ADDRA_FF2_2_net);
1550
    MX2_122 : MX2
1551
      port map(A => QAX_TEMPR6_11_net, B => QAX_TEMPR7_11_net,
1552
        S => BUFF_14_Y, Y => MX2_122_Y);
1553
    MX2_399 : MX2
1554
      port map(A => MX2_369_Y, B => MX2_296_Y, S => BUFF_8_Y,
1555
        Y => MX2_399_Y);
1556
    MX2_17 : MX2
1557
      port map(A => QAX_TEMPR4_5_net, B => QAX_TEMPR5_5_net, S =>
1558
        BUFF_22_Y, Y => MX2_17_Y);
1559
    MX2_76 : MX2
1560
      port map(A => QBX_TEMPR6_9_net, B => QBX_TEMPR7_9_net, S =>
1561
        BUFF_28_Y, Y => MX2_76_Y);
1562
    MX2_246 : MX2
1563
      port map(A => QAX_TEMPR8_9_net, B => QAX_TEMPR9_9_net, S =>
1564
        BUFF_20_Y, Y => MX2_246_Y);
1565
    dual_port_memory_R13C0 : RAM4K9
1566
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1567
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1568
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1569
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1570
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1571
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1572
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1573
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1574
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1575
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1576
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1577
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
1578
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
1579
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1580
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1581
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
1582
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
1583
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1584
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1585
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_13_net,
1586
        BLKB => BLKB_EN_13_net, WENA => RWA, WENB => RWB, CLKA =>
1587
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1588
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1589
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR13_3_net, DOUTA2 =>
1590
        QAX_TEMPR13_2_net, DOUTA1 => QAX_TEMPR13_1_net, DOUTA0 =>
1591
        QAX_TEMPR13_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1592
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1593
        DOUTB3 => QBX_TEMPR13_3_net, DOUTB2 => QBX_TEMPR13_2_net,
1594
        DOUTB1 => QBX_TEMPR13_1_net, DOUTB0 => QBX_TEMPR13_0_net);
1595
    MX2_53 : MX2
1596
      port map(A => QAX_TEMPR8_11_net, B => QAX_TEMPR9_11_net,
1597
        S => BUFF_14_Y, Y => MX2_53_Y);
1598
    MX2_110 : MX2
1599
      port map(A => MX2_317_Y, B => MX2_322_Y, S => BUFF_35_Y,
1600
        Y => MX2_110_Y);
1601
    MX2_66 : MX2
1602
      port map(A => QBX_TEMPR6_7_net, B => QBX_TEMPR7_7_net, S =>
1603
        BUFF_3_Y, Y => MX2_66_Y);
1604
    MX2_252 : MX2
1605
      port map(A => MX2_215_Y, B => MX2_84_Y, S => BUFF_1_Y, Y =>
1606
        MX2_252_Y);
1607
    MX2_45 : MX2
1608
      port map(A => MX2_403_Y, B => QAX_TEMPR14_1_net, S =>
1609
        BUFF_38_Y, Y => MX2_45_Y);
1610
    MX2_93 : MX2
1611
      port map(A => MX2_253_Y, B => MX2_161_Y, S =>
1612
        ADDRB_FF2_2_net, Y => MX2_93_Y);
1613
    MX2_261 : MX2
1614
      port map(A => MX2_241_Y, B => MX2_396_Y, S => BUFF_4_Y,
1615
        Y => MX2_261_Y);
1616
    MX2_267 : MX2
1617
      port map(A => QBX_TEMPR8_1_net, B => QBX_TEMPR9_1_net, S =>
1618
        BUFF_33_Y, Y => MX2_267_Y);
1619
    MX2_158 : MX2
1620
      port map(A => QAX_TEMPR12_10_net, B => QAX_TEMPR13_10_net,
1621
        S => BUFF_14_Y, Y => MX2_158_Y);
1622
    MX2_180 : MX2
1623
      port map(A => QBX_TEMPR2_1_net, B => QBX_TEMPR3_1_net, S =>
1624
        BUFF_23_Y, Y => MX2_180_Y);
1625
    AND2A_4 : AND2A
1626
      port map(A => ADDRA(11), B => ADDRA(10), Y => AND2A_4_Y);
1627
    dual_port_memory_R9C1 : RAM4K9
1628
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1629
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1630
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1631
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1632
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1633
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1634
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1635
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1636
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1637
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1638
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1639
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
1640
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
1641
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1642
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1643
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
1644
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
1645
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1646
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1647
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_9_net,
1648
        BLKB => BLKB_EN_9_net, WENA => RWA, WENB => RWB, CLKA =>
1649
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1650
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1651
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR9_7_net, DOUTA2 =>
1652
        QAX_TEMPR9_6_net, DOUTA1 => QAX_TEMPR9_5_net, DOUTA0 =>
1653
        QAX_TEMPR9_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1654
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1655
        DOUTB3 => QBX_TEMPR9_7_net, DOUTB2 => QBX_TEMPR9_6_net,
1656
        DOUTB1 => QBX_TEMPR9_5_net, DOUTB0 => QBX_TEMPR9_4_net);
1657
    MX2_DOUTB_14_inst : MX2
1658
      port map(A => MX2_93_Y, B => MX2_175_Y, S =>
1659
        ADDRB_FF2_3_net, Y => DOUTB(14));
1660
    MX2_144 : MX2
1661
      port map(A => MX2_112_Y, B => MX2_137_Y, S => BUFF_29_Y,
1662
        Y => MX2_144_Y);
1663
    BUFF_17 : BUFF
1664
      port map(A => ADDRA_FF2_1_net, Y => BUFF_17_Y);
1665
    MX2_348 : MX2
1666
      port map(A => MX2_2_Y, B => MX2_16_Y, S => BUFF_8_Y, Y =>
1667
        MX2_348_Y);
1668
    MX2_192 : MX2
1669
      port map(A => QBX_TEMPR12_14_net, B => QBX_TEMPR13_14_net,
1670
        S => BUFF_16_Y, Y => MX2_192_Y);
1671
    MX2_343 : MX2
1672
      port map(A => MX2_172_Y, B => MX2_152_Y, S => BUFF_4_Y,
1673
        Y => MX2_343_Y);
1674
    dual_port_memory_R7C3 : RAM4K9
1675
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1676
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1677
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1678
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1679
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1680
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1681
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1682
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1683
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1684
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1685
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1686
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
1687
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
1688
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1689
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1690
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
1691
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
1692
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1693
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1694
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_7_net,
1695
        BLKB => BLKB_EN_7_net, WENA => RWA, WENB => RWB, CLKA =>
1696
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1697
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1698
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR7_15_net, DOUTA2 =>
1699
        QAX_TEMPR7_14_net, DOUTA1 => QAX_TEMPR7_13_net, DOUTA0 =>
1700
        QAX_TEMPR7_12_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1701
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1702
        DOUTB3 => QBX_TEMPR7_15_net, DOUTB2 => QBX_TEMPR7_14_net,
1703
        DOUTB1 => QBX_TEMPR7_13_net, DOUTB0 => QBX_TEMPR7_12_net);
1704
    MX2_400 : MX2
1705
      port map(A => MX2_162_Y, B => MX2_314_Y, S => BUFF_32_Y,
1706
        Y => MX2_400_Y);
1707
    dual_port_memory_R14C0 : RAM4K9
1708
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1709
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1710
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1711
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1712
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1713
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1714
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1715
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1716
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1717
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1718
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1719
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
1720
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
1721
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1722
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1723
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
1724
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
1725
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1726
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1727
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_14_net,
1728
        BLKB => BLKB_EN_14_net, WENA => RWA, WENB => RWB, CLKA =>
1729
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1730
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1731
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR14_3_net, DOUTA2 =>
1732
        QAX_TEMPR14_2_net, DOUTA1 => QAX_TEMPR14_1_net, DOUTA0 =>
1733
        QAX_TEMPR14_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1734
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1735
        DOUTB3 => QBX_TEMPR14_3_net, DOUTB2 => QBX_TEMPR14_2_net,
1736
        DOUTB1 => QBX_TEMPR14_1_net, DOUTB0 => QBX_TEMPR14_0_net);
1737
    BUFF_27 : BUFF
1738
      port map(A => ADDRA_FF2_1_net, Y => BUFF_27_Y);
1739
    MX2_137 : MX2
1740
      port map(A => QBX_TEMPR10_5_net, B => QBX_TEMPR11_5_net,
1741
        S => BUFF_6_Y, Y => MX2_137_Y);
1742
    BUFF_3 : BUFF
1743
      port map(A => ADDRB_FF2_0_net, Y => BUFF_3_Y);
1744
    MX2_254 : MX2
1745
      port map(A => MX2_249_Y, B => MX2_342_Y, S =>
1746
        ADDRB_FF2_2_net, Y => MX2_254_Y);
1747
    MX2_22 : MX2
1748
      port map(A => QAX_TEMPR12_7_net, B => QAX_TEMPR13_7_net,
1749
        S => BUFF_10_Y, Y => MX2_22_Y);
1750
    ORA_GATE_6_inst : OR2
1751
      port map(A => ENABLE_ADDRA_6_net, B => WEAP, Y =>
1752
        BLKA_EN_6_net);
1753
    BUFF_38 : BUFF
1754
      port map(A => ADDRA_FF2_1_net, Y => BUFF_38_Y);
1755
    MX2_51 : MX2
1756
      port map(A => QAX_TEMPR8_2_net, B => QAX_TEMPR9_2_net, S =>
1757
        BUFF_21_Y, Y => MX2_51_Y);
1758
    MX2_240 : MX2
1759
      port map(A => QAX_TEMPR8_1_net, B => QAX_TEMPR9_1_net, S =>
1760
        BUFF_21_Y, Y => MX2_240_Y);
1761
    MX2_413 : MX2
1762
      port map(A => MX2_388_Y, B => MX2_305_Y, S => BUFF_35_Y,
1763
        Y => MX2_413_Y);
1764
    MX2_13 : MX2
1765
      port map(A => MX2_74_Y, B => MX2_408_Y, S => BUFF_4_Y, Y =>
1766
        MX2_13_Y);
1767
    MX2_91 : MX2
1768
      port map(A => QAX_TEMPR0_15_net, B => QAX_TEMPR1_15_net,
1769
        S => BUFF_9_Y, Y => MX2_91_Y);
1770
    MX2_141 : MX2
1771
      port map(A => MX2_281_Y, B => MX2_191_Y, S =>
1772
        ADDRB_FF2_2_net, Y => MX2_141_Y);
1773
    MX2_58 : MX2
1774
      port map(A => MX2_18_Y, B => QBX_TEMPR14_2_net, S =>
1775
        BUFF_7_Y, Y => MX2_58_Y);
1776
    dual_port_memory_R1C3 : RAM4K9
1777
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1778
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1779
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1780
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1781
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1782
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1783
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1784
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1785
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1786
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1787
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1788
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
1789
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
1790
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1791
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1792
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
1793
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
1794
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1795
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1796
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_1_net,
1797
        BLKB => BLKB_EN_1_net, WENA => RWA, WENB => RWB, CLKA =>
1798
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1799
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1800
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR1_15_net, DOUTA2 =>
1801
        QAX_TEMPR1_14_net, DOUTA1 => QAX_TEMPR1_13_net, DOUTA0 =>
1802
        QAX_TEMPR1_12_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1803
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1804
        DOUTB3 => QBX_TEMPR1_15_net, DOUTB2 => QBX_TEMPR1_14_net,
1805
        DOUTB1 => QBX_TEMPR1_13_net, DOUTB0 => QBX_TEMPR1_12_net);
1806
    MX2_77 : MX2
1807
      port map(A => QBX_TEMPR8_9_net, B => QBX_TEMPR9_9_net, S =>
1808
        BUFF_28_Y, Y => MX2_77_Y);
1809
    dual_port_memory_R3C3 : RAM4K9
1810
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1811
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1812
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1813
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1814
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1815
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1816
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1817
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1818
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1819
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1820
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1821
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
1822
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
1823
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1824
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1825
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
1826
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
1827
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1828
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1829
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_3_net,
1830
        BLKB => BLKB_EN_3_net, WENA => RWA, WENB => RWB, CLKA =>
1831
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1832
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1833
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR3_15_net, DOUTA2 =>
1834
        QAX_TEMPR3_14_net, DOUTA1 => QAX_TEMPR3_13_net, DOUTA0 =>
1835
        QAX_TEMPR3_12_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1836
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1837
        DOUTB3 => QBX_TEMPR3_15_net, DOUTB2 => QBX_TEMPR3_14_net,
1838
        DOUTB1 => QBX_TEMPR3_13_net, DOUTB0 => QBX_TEMPR3_12_net);
1839
    MX2_98 : MX2
1840
      port map(A => MX2_178_Y, B => MX2_235_Y, S => BUFF_35_Y,
1841
        Y => MX2_98_Y);
1842
    MX2_80 : MX2
1843
      port map(A => QBX_TEMPR0_10_net, B => QBX_TEMPR1_10_net,
1844
        S => BUFF_28_Y, Y => MX2_80_Y);
1845
    MX2_245 : MX2
1846
      port map(A => QBX_TEMPR2_12_net, B => QBX_TEMPR3_12_net,
1847
        S => BUFF_24_Y, Y => MX2_245_Y);
1848
    MX2_229 : MX2
1849
      port map(A => QBX_TEMPR2_10_net, B => QBX_TEMPR3_10_net,
1850
        S => BUFF_28_Y, Y => MX2_229_Y);
1851
    MX2_341 : MX2
1852
      port map(A => QBX_TEMPR4_8_net, B => QBX_TEMPR5_8_net, S =>
1853
        BUFF_34_Y, Y => MX2_341_Y);
1854
    MX2_DOUTA_11_inst : MX2
1855
      port map(A => MX2_370_Y, B => MX2_78_Y, S =>
1856
        ADDRA_FF2_3_net, Y => DOUTA(11));
1857
    MX2_411 : MX2
1858
      port map(A => MX2_283_Y, B => MX2_145_Y, S => BUFF_7_Y,
1859
        Y => MX2_411_Y);
1860
    AND2_1 : AND2
1861
      port map(A => ADDRB(11), B => ADDRB(10), Y => AND2_1_Y);
1862
    ORB_GATE_8_inst : OR2
1863
      port map(A => ENABLE_ADDRB_8_net, B => WEBP, Y =>
1864
        BLKB_EN_8_net);
1865
    MX2_67 : MX2
1866
      port map(A => MX2_8_Y, B => MX2_297_Y, S => BUFF_13_Y, Y =>
1867
        MX2_67_Y);
1868
    NAND2_ENABLE_ADDRB_10_inst : NAND2
1869
      port map(A => AND2A_7_Y, B => AND2A_6_Y, Y =>
1870
        ENABLE_ADDRB_10_net);
1871
    NAND2_ENABLE_ADDRB_11_inst : NAND2
1872
      port map(A => AND2_1_Y, B => AND2A_6_Y, Y =>
1873
        ENABLE_ADDRB_11_net);
1874
    MX2_206 : MX2
1875
      port map(A => MX2_7_Y, B => MX2_34_Y, S => BUFF_4_Y, Y =>
1876
        MX2_206_Y);
1877
    MX2_271 : MX2
1878
      port map(A => MX2_110_Y, B => MX2_181_Y, S =>
1879
        ADDRA_FF2_2_net, Y => MX2_271_Y);
1880
    BUFF_5 : BUFF
1881
      port map(A => ADDRB_FF2_0_net, Y => BUFF_5_Y);
1882
    MX2_29 : MX2
1883
      port map(A => QAX_TEMPR4_1_net, B => QAX_TEMPR5_1_net, S =>
1884
        BUFF_18_Y, Y => MX2_29_Y);
1885
    MX2_277 : MX2
1886
      port map(A => QBX_TEMPR6_4_net, B => QBX_TEMPR7_4_net, S =>
1887
        BUFF_12_Y, Y => MX2_277_Y);
1888
    dual_port_memory_R1C0 : RAM4K9
1889
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1890
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1891
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1892
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1893
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1894
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1895
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1896
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1897
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1898
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1899
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1900
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
1901
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
1902
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1903
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1904
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
1905
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
1906
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1907
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1908
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_1_net,
1909
        BLKB => BLKB_EN_1_net, WENA => RWA, WENB => RWB, CLKA =>
1910
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1911
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1912
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR1_3_net, DOUTA2 =>
1913
        QAX_TEMPR1_2_net, DOUTA1 => QAX_TEMPR1_1_net, DOUTA0 =>
1914
        QAX_TEMPR1_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1915
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1916
        DOUTB3 => QBX_TEMPR1_3_net, DOUTB2 => QBX_TEMPR1_2_net,
1917
        DOUTB1 => QBX_TEMPR1_1_net, DOUTB0 => QBX_TEMPR1_0_net);
1918
    MX2_356 : MX2
1919
      port map(A => MX2_81_Y, B => MX2_154_Y, S => BUFF_30_Y,
1920
        Y => MX2_356_Y);
1921
    MX2_4 : MX2
1922
      port map(A => QBX_TEMPR2_5_net, B => QBX_TEMPR3_5_net, S =>
1923
        BUFF_12_Y, Y => MX2_4_Y);
1924
    MX2_DOUTA_6_inst : MX2
1925
      port map(A => MX2_170_Y, B => MX2_216_Y, S =>
1926
        ADDRA_FF2_3_net, Y => DOUTA(6));
1927
    MX2_327 : MX2
1928
      port map(A => MX2_118_Y, B => MX2_363_Y, S =>
1929
        ADDRA_FF2_2_net, Y => MX2_327_Y);
1930
    NAND2_ENABLE_ADDRB_12_inst : NAND2
1931
      port map(A => NOR2_1_Y, B => AND2_0_Y, Y =>
1932
        ENABLE_ADDRB_12_net);
1933
    MX2_149 : MX2
1934
      port map(A => MX2_25_Y, B => MX2_239_Y, S => BUFF_30_Y,
1935
        Y => MX2_149_Y);
1936
    NOR2_1 : NOR2
1937
      port map(A => ADDRB(11), B => ADDRB(10), Y => NOR2_1_Y);
1938
    MX2_117 : MX2
1939
      port map(A => QAX_TEMPR0_7_net, B => QAX_TEMPR1_7_net, S =>
1940
        BUFF_10_Y, Y => MX2_117_Y);
1941
    dual_port_memory_R2C3 : RAM4K9
1942
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1943
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1944
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1945
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1946
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1947
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1948
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1949
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1950
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1951
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1952
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1953
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
1954
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
1955
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1956
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1957
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
1958
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
1959
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1960
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1961
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_2_net,
1962
        BLKB => BLKB_EN_2_net, WENA => RWA, WENB => RWB, CLKA =>
1963
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1964
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1965
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR2_15_net, DOUTA2 =>
1966
        QAX_TEMPR2_14_net, DOUTA1 => QAX_TEMPR2_13_net, DOUTA0 =>
1967
        QAX_TEMPR2_12_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1968
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1969
        DOUTB3 => QBX_TEMPR2_15_net, DOUTB2 => QBX_TEMPR2_14_net,
1970
        DOUTB1 => QBX_TEMPR2_13_net, DOUTB0 => QBX_TEMPR2_12_net);
1971
    dual_port_memory_R0C0 : RAM4K9
1972
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
1973
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
1974
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
1975
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
1976
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
1977
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
1978
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
1979
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
1980
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
1981
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
1982
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
1983
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
1984
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
1985
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
1986
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
1987
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
1988
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
1989
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
1990
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
1991
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_0_net,
1992
        BLKB => BLKB_EN_0_net, WENA => RWA, WENB => RWB, CLKA =>
1993
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
1994
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
1995
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR0_3_net, DOUTA2 =>
1996
        QAX_TEMPR0_2_net, DOUTA1 => QAX_TEMPR0_1_net, DOUTA0 =>
1997
        QAX_TEMPR0_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
1998
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
1999
        DOUTB3 => QBX_TEMPR0_3_net, DOUTB2 => QBX_TEMPR0_2_net,
2000
        DOUTB1 => QBX_TEMPR0_1_net, DOUTB0 => QBX_TEMPR0_0_net);
2001
    MX2_223 : MX2
2002
      port map(A => QAX_TEMPR10_2_net, B => QAX_TEMPR11_2_net,
2003
        S => BUFF_0_Y, Y => MX2_223_Y);
2004
    BUFF_19 : BUFF
2005
      port map(A => ADDRB_FF2_1_net, Y => BUFF_19_Y);
2006
    dual_port_memory_R13C2 : RAM4K9
2007
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2008
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2009
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2010
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2011
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2012
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2013
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2014
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2015
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2016
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2017
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2018
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
2019
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
2020
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2021
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2022
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
2023
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
2024
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2025
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2026
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_13_net,
2027
        BLKB => BLKB_EN_13_net, WENA => RWA, WENB => RWB, CLKA =>
2028
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2029
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2030
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR13_11_net, DOUTA2 =>
2031
        QAX_TEMPR13_10_net, DOUTA1 => QAX_TEMPR13_9_net,
2032
        DOUTA0 => QAX_TEMPR13_8_net, DOUTB8 => OPEN , DOUTB7 =>
2033
        OPEN , DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2034
        DOUTB3 => QBX_TEMPR13_11_net, DOUTB2 =>
2035
        QBX_TEMPR13_10_net, DOUTB1 => QBX_TEMPR13_9_net,
2036
        DOUTB0 => QBX_TEMPR13_8_net);
2037
    MX2_DOUTA_12_inst : MX2
2038
      port map(A => MX2_260_Y, B => MX2_151_Y, S =>
2039
        ADDRA_FF2_3_net, Y => DOUTA(12));
2040
    MX2_32 : MX2
2041
      port map(A => QBX_TEMPR10_6_net, B => QBX_TEMPR11_6_net,
2042
        S => BUFF_3_Y, Y => MX2_32_Y);
2043
    MX2_187 : MX2
2044
      port map(A => QAX_TEMPR12_9_net, B => QAX_TEMPR13_9_net,
2045
        S => BUFF_20_Y, Y => MX2_187_Y);
2046
    BFF1_1_inst : DFN1
2047
      port map(D => ADDRB(11), CLK => CLKB, Q => ADDRB_FF2_1_net);
2048
    MX2_345 : MX2
2049
      port map(A => MX2_262_Y, B => MX2_75_Y, S => BUFF_30_Y,
2050
        Y => MX2_345_Y);
2051
    AND2A_6 : AND2A
2052
      port map(A => ADDRB(12), B => ADDRB(13), Y => AND2A_6_Y);
2053
    MX2_104 : MX2
2054
      port map(A => MX2_102_Y, B => MX2_58_Y, S =>
2055
        ADDRB_FF2_2_net, Y => MX2_104_Y);
2056
    MX2_299 : MX2
2057
      port map(A => QBX_TEMPR4_9_net, B => QBX_TEMPR5_9_net, S =>
2058
        BUFF_34_Y, Y => MX2_299_Y);
2059
    MX2_352 : MX2
2060
      port map(A => MX2_21_Y, B => MX2_47_Y, S => ADDRB_FF2_2_net,
2061
        Y => MX2_352_Y);
2062
    MX2_86 : MX2
2063
      port map(A => QAX_TEMPR10_6_net, B => QAX_TEMPR11_6_net,
2064
        S => BUFF_10_Y, Y => MX2_86_Y);
2065
    MX2_11 : MX2
2066
      port map(A => MX2_217_Y, B => MX2_264_Y, S => BUFF_8_Y,
2067
        Y => MX2_11_Y);
2068
    MX2_153 : MX2
2069
      port map(A => MX2_73_Y, B => QAX_TEMPR14_3_net, S =>
2070
        BUFF_17_Y, Y => MX2_153_Y);
2071
    MX2_308 : MX2
2072
      port map(A => QAX_TEMPR12_12_net, B => QAX_TEMPR13_12_net,
2073
        S => BUFF_37_Y, Y => MX2_308_Y);
2074
    BUFF_29 : BUFF
2075
      port map(A => ADDRB_FF2_1_net, Y => BUFF_29_Y);
2076
    MX2_359 : MX2
2077
      port map(A => QBX_TEMPR0_12_net, B => QBX_TEMPR1_12_net,
2078
        S => BUFF_24_Y, Y => MX2_359_Y);
2079
    dual_port_memory_R12C0 : RAM4K9
2080
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2081
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2082
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2083
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2084
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2085
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2086
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2087
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2088
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2089
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2090
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2091
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
2092
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
2093
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2094
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2095
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
2096
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
2097
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2098
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2099
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_12_net,
2100
        BLKB => BLKB_EN_12_net, WENA => RWA, WENB => RWB, CLKA =>
2101
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2102
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2103
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR12_3_net, DOUTA2 =>
2104
        QAX_TEMPR12_2_net, DOUTA1 => QAX_TEMPR12_1_net, DOUTA0 =>
2105
        QAX_TEMPR12_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
2106
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2107
        DOUTB3 => QBX_TEMPR12_3_net, DOUTB2 => QBX_TEMPR12_2_net,
2108
        DOUTB1 => QBX_TEMPR12_1_net, DOUTB0 => QBX_TEMPR12_0_net);
2109
    MX2_303 : MX2
2110
      port map(A => QAX_TEMPR2_3_net, B => QAX_TEMPR3_3_net, S =>
2111
        BUFF_0_Y, Y => MX2_303_Y);
2112
    MX2_228 : MX2
2113
      port map(A => QAX_TEMPR8_5_net, B => QAX_TEMPR9_5_net, S =>
2114
        BUFF_26_Y, Y => MX2_228_Y);
2115
    MX2_402 : MX2
2116
      port map(A => QAX_TEMPR0_9_net, B => QAX_TEMPR1_9_net, S =>
2117
        BUFF_11_Y, Y => MX2_402_Y);
2118
    MX2_18 : MX2
2119
      port map(A => QBX_TEMPR12_2_net, B => QBX_TEMPR13_2_net,
2120
        S => BUFF_5_Y, Y => MX2_18_Y);
2121
    MX2_266 : MX2
2122
      port map(A => QAX_TEMPR10_10_net, B => QAX_TEMPR11_10_net,
2123
        S => BUFF_14_Y, Y => MX2_266_Y);
2124
    dual_port_memory_R12C2 : RAM4K9
2125
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2126
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2127
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2128
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2129
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2130
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2131
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2132
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2133
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2134
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2135
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2136
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
2137
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
2138
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2139
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2140
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
2141
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
2142
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2143
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2144
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_12_net,
2145
        BLKB => BLKB_EN_12_net, WENA => RWA, WENB => RWB, CLKA =>
2146
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2147
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2148
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR12_11_net, DOUTA2 =>
2149
        QAX_TEMPR12_10_net, DOUTA1 => QAX_TEMPR12_9_net,
2150
        DOUTA0 => QAX_TEMPR12_8_net, DOUTB8 => OPEN , DOUTB7 =>
2151
        OPEN , DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2152
        DOUTB3 => QBX_TEMPR12_11_net, DOUTB2 =>
2153
        QBX_TEMPR12_10_net, DOUTB1 => QBX_TEMPR12_9_net,
2154
        DOUTB0 => QBX_TEMPR12_8_net);
2155
    NAND2_ENABLE_ADDRA_10_inst : NAND2
2156
      port map(A => AND2A_2_Y, B => AND2A_3_Y, Y =>
2157
        ENABLE_ADDRA_10_net);
2158
    NAND2_ENABLE_ADDRA_11_inst : NAND2
2159
      port map(A => AND2_2_Y, B => AND2A_3_Y, Y =>
2160
        ENABLE_ADDRA_11_net);
2161
    MX2_126 : MX2
2162
      port map(A => MX2_92_Y, B => MX2_209_Y, S =>
2163
        ADDRA_FF2_2_net, Y => MX2_126_Y);
2164
    dual_port_memory_R10C0 : RAM4K9
2165
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2166
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2167
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2168
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2169
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2170
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2171
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2172
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2173
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2174
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2175
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2176
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
2177
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
2178
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2179
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2180
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
2181
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
2182
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2183
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2184
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_10_net,
2185
        BLKB => BLKB_EN_10_net, WENA => RWA, WENB => RWB, CLKA =>
2186
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2187
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2188
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR10_3_net, DOUTA2 =>
2189
        QAX_TEMPR10_2_net, DOUTA1 => QAX_TEMPR10_1_net, DOUTA0 =>
2190
        QAX_TEMPR10_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
2191
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2192
        DOUTB3 => QBX_TEMPR10_3_net, DOUTB2 => QBX_TEMPR10_2_net,
2193
        DOUTB1 => QBX_TEMPR10_1_net, DOUTB0 => QBX_TEMPR10_0_net);
2194
    ORA_GATE_13_inst : OR2
2195
      port map(A => ENABLE_ADDRA_13_net, B => WEAP, Y =>
2196
        BLKA_EN_13_net);
2197
    MX2_125 : MX2
2198
      port map(A => QAX_TEMPR0_14_net, B => QAX_TEMPR1_14_net,
2199
        S => BUFF_15_Y, Y => MX2_125_Y);
2200
    MX2_397 : MX2
2201
      port map(A => MX2_155_Y, B => MX2_310_Y, S => BUFF_17_Y,
2202
        Y => MX2_397_Y);
2203
    dual_port_memory_R11C3 : RAM4K9
2204
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2205
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2206
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2207
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2208
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2209
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2210
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2211
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2212
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2213
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2214
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2215
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
2216
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
2217
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2218
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2219
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
2220
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
2221
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2222
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2223
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_11_net,
2224
        BLKB => BLKB_EN_11_net, WENA => RWA, WENB => RWB, CLKA =>
2225
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2226
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2227
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR11_15_net, DOUTA2 =>
2228
        QAX_TEMPR11_14_net, DOUTA1 => QAX_TEMPR11_13_net,
2229
        DOUTA0 => QAX_TEMPR11_12_net, DOUTB8 => OPEN , DOUTB7 =>
2230
        OPEN , DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2231
        DOUTB3 => QBX_TEMPR11_15_net, DOUTB2 =>
2232
        QBX_TEMPR11_14_net, DOUTB1 => QBX_TEMPR11_13_net,
2233
        DOUTB0 => QBX_TEMPR11_12_net);
2234
    MX2_73 : MX2
2235
      port map(A => QAX_TEMPR12_3_net, B => QAX_TEMPR13_3_net,
2236
        S => BUFF_0_Y, Y => MX2_73_Y);
2237
    NAND2_ENABLE_ADDRA_5_inst : NAND2
2238
      port map(A => AND2A_4_Y, B => AND2A_5_Y, Y =>
2239
        ENABLE_ADDRA_5_net);
2240
    MX2_293 : MX2
2241
      port map(A => QBX_TEMPR8_6_net, B => QBX_TEMPR9_6_net, S =>
2242
        BUFF_6_Y, Y => MX2_293_Y);
2243
    MX2_242 : MX2
2244
      port map(A => QBX_TEMPR0_14_net, B => QBX_TEMPR1_14_net,
2245
        S => BUFF_2_Y, Y => MX2_242_Y);
2246
    MX2_200 : MX2
2247
      port map(A => MX2_157_Y, B => QBX_TEMPR14_3_net, S =>
2248
        BUFF_7_Y, Y => MX2_200_Y);
2249
    MX2_44 : MX2
2250
      port map(A => MX2_136_Y, B => MX2_153_Y, S =>
2251
        ADDRA_FF2_2_net, Y => MX2_44_Y);
2252
    MX2_320 : MX2
2253
      port map(A => MX2_158_Y, B => QAX_TEMPR14_10_net, S =>
2254
        BUFF_32_Y, Y => MX2_320_Y);
2255
    BUFF_34 : BUFF
2256
      port map(A => ADDRB_FF2_0_net, Y => BUFF_34_Y);
2257
    MX2_DOUTA_9_inst : MX2
2258
      port map(A => MX2_150_Y, B => MX2_409_Y, S =>
2259
        ADDRA_FF2_3_net, Y => DOUTA(9));
2260
    MX2_DOUTB_2_inst : MX2
2261
      port map(A => MX2_141_Y, B => MX2_104_Y, S =>
2262
        ADDRB_FF2_3_net, Y => DOUTB(2));
2263
    MX2_63 : MX2
2264
      port map(A => QBX_TEMPR6_14_net, B => QBX_TEMPR7_14_net,
2265
        S => BUFF_2_Y, Y => MX2_63_Y);
2266
    MX2_39 : MX2
2267
      port map(A => MX2_240_Y, B => MX2_251_Y, S => BUFF_38_Y,
2268
        Y => MX2_39_Y);
2269
    MX2_148 : MX2
2270
      port map(A => QAX_TEMPR4_8_net, B => QAX_TEMPR5_8_net, S =>
2271
        BUFF_11_Y, Y => MX2_148_Y);
2272
    MX2_101 : MX2
2273
      port map(A => QAX_TEMPR4_6_net, B => QAX_TEMPR5_6_net, S =>
2274
        BUFF_26_Y, Y => MX2_101_Y);
2275
    NAND2_ENABLE_ADDRA_12_inst : NAND2
2276
      port map(A => NOR2_2_Y, B => AND2_3_Y, Y =>
2277
        ENABLE_ADDRA_12_net);
2278
    AND2_3 : AND2
2279
      port map(A => ADDRA(13), B => ADDRA(12), Y => AND2_3_Y);
2280
    MX2_231 : MX2
2281
      port map(A => QAX_TEMPR6_4_net, B => QAX_TEMPR7_4_net, S =>
2282
        BUFF_22_Y, Y => MX2_231_Y);
2283
    MX2_164 : MX2
2284
      port map(A => QBX_TEMPR12_9_net, B => QBX_TEMPR13_9_net,
2285
        S => BUFF_28_Y, Y => MX2_164_Y);
2286
    MX2_237 : MX2
2287
      port map(A => MX2_28_Y, B => MX2_268_Y, S =>
2288
        ADDRA_FF2_2_net, Y => MX2_237_Y);
2289
    MX2_152 : MX2
2290
      port map(A => QBX_TEMPR2_13_net, B => QBX_TEMPR3_13_net,
2291
        S => BUFF_24_Y, Y => MX2_152_Y);
2292
    MX2_368 : MX2
2293
      port map(A => MX2_64_Y, B => MX2_65_Y, S => ADDRB_FF2_2_net,
2294
        Y => MX2_368_Y);
2295
    MX2_205 : MX2
2296
      port map(A => MX2_273_Y, B => MX2_298_Y, S =>
2297
        ADDRB_FF2_2_net, Y => MX2_205_Y);
2298
    dual_port_memory_R1C2 : RAM4K9
2299
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2300
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2301
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2302
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2303
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2304
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2305
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2306
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2307
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2308
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2309
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2310
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
2311
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
2312
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2313
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2314
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
2315
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
2316
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2317
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2318
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_1_net,
2319
        BLKB => BLKB_EN_1_net, WENA => RWA, WENB => RWB, CLKA =>
2320
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2321
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2322
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR1_11_net, DOUTA2 =>
2323
        QAX_TEMPR1_10_net, DOUTA1 => QAX_TEMPR1_9_net, DOUTA0 =>
2324
        QAX_TEMPR1_8_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
2325
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2326
        DOUTB3 => QBX_TEMPR1_11_net, DOUTB2 => QBX_TEMPR1_10_net,
2327
        DOUTB1 => QBX_TEMPR1_9_net, DOUTB0 => QBX_TEMPR1_8_net);
2328
    MX2_301 : MX2
2329
      port map(A => QAX_TEMPR2_6_net, B => QAX_TEMPR3_6_net, S =>
2330
        BUFF_26_Y, Y => MX2_301_Y);
2331
    MX2_363 : MX2
2332
      port map(A => MX2_22_Y, B => QAX_TEMPR14_7_net, S =>
2333
        BUFF_27_Y, Y => MX2_363_Y);
2334
    NAND2_ENABLE_ADDRB_5_inst : NAND2
2335
      port map(A => AND2A_1_Y, B => AND2A_0_Y, Y =>
2336
        ENABLE_ADDRB_5_net);
2337
    MX2_298 : MX2
2338
      port map(A => MX2_366_Y, B => MX2_277_Y, S => BUFF_29_Y,
2339
        Y => MX2_298_Y);
2340
    NAND2_ENABLE_ADDRA_6_inst : NAND2
2341
      port map(A => AND2A_2_Y, B => AND2A_5_Y, Y =>
2342
        ENABLE_ADDRA_6_net);
2343
    NAND2_ENABLE_ADDRB_13_inst : NAND2
2344
      port map(A => AND2A_1_Y, B => AND2_0_Y, Y =>
2345
        ENABLE_ADDRB_13_net);
2346
    MX2_196 : MX2
2347
      port map(A => QBX_TEMPR10_4_net, B => QBX_TEMPR11_4_net,
2348
        S => BUFF_12_Y, Y => MX2_196_Y);
2349
    AND2A_0 : AND2A
2350
      port map(A => ADDRB(13), B => ADDRB(12), Y => AND2A_0_Y);
2351
    MX2_195 : MX2
2352
      port map(A => MX2_139_Y, B => MX2_244_Y, S => BUFF_38_Y,
2353
        Y => MX2_195_Y);
2354
    ORB_GATE_10_inst : OR2
2355
      port map(A => ENABLE_ADDRB_10_net, B => WEBP, Y =>
2356
        BLKB_EN_10_net);
2357
    MX2_244 : MX2
2358
      port map(A => QAX_TEMPR6_0_net, B => QAX_TEMPR7_0_net, S =>
2359
        BUFF_18_Y, Y => MX2_244_Y);
2360
    MX2_390 : MX2
2361
      port map(A => MX2_381_Y, B => MX2_62_Y, S =>
2362
        ADDRB_FF2_2_net, Y => MX2_390_Y);
2363
    MX2_260 : MX2
2364
      port map(A => MX2_88_Y, B => MX2_167_Y, S =>
2365
        ADDRA_FF2_2_net, Y => MX2_260_Y);
2366
    MX2_109 : MX2
2367
      port map(A => QBX_TEMPR6_5_net, B => QBX_TEMPR7_5_net, S =>
2368
        BUFF_6_Y, Y => MX2_109_Y);
2369
    MX2_DOUTA_4_inst : MX2
2370
      port map(A => MX2_271_Y, B => MX2_346_Y, S =>
2371
        ADDRA_FF2_3_net, Y => DOUTA(4));
2372
    MX2_161 : MX2
2373
      port map(A => MX2_391_Y, B => MX2_63_Y, S => BUFF_13_Y,
2374
        Y => MX2_161_Y);
2375
    MX2_87 : MX2
2376
      port map(A => QBX_TEMPR6_2_net, B => QBX_TEMPR7_2_net, S =>
2377
        BUFF_33_Y, Y => MX2_87_Y);
2378
    MX2_71 : MX2
2379
      port map(A => MX2_189_Y, B => QAX_TEMPR14_14_net, S =>
2380
        BUFF_30_Y, Y => MX2_71_Y);
2381
    ORA_GATE_5_inst : OR2
2382
      port map(A => ENABLE_ADDRA_5_net, B => WEAP, Y =>
2383
        BLKA_EN_5_net);
2384
    WEBUBBLEB : INV
2385
      port map(A => BLKB, Y => WEBP);
2386
    MX2_305 : MX2
2387
      port map(A => QAX_TEMPR2_5_net, B => QAX_TEMPR3_5_net, S =>
2388
        BUFF_22_Y, Y => MX2_305_Y);
2389
    dual_port_memory_R6C0 : RAM4K9
2390
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2391
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2392
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2393
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2394
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2395
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2396
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2397
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2398
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2399
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2400
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2401
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
2402
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
2403
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2404
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2405
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
2406
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
2407
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2408
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2409
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_6_net,
2410
        BLKB => BLKB_EN_6_net, WENA => RWA, WENB => RWB, CLKA =>
2411
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2412
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2413
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR6_3_net, DOUTA2 =>
2414
        QAX_TEMPR6_2_net, DOUTA1 => QAX_TEMPR6_1_net, DOUTA0 =>
2415
        QAX_TEMPR6_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
2416
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2417
        DOUTB3 => QBX_TEMPR6_3_net, DOUTB2 => QBX_TEMPR6_2_net,
2418
        DOUTB1 => QBX_TEMPR6_1_net, DOUTB0 => QBX_TEMPR6_0_net);
2419
    MX2_324 : MX2
2420
      port map(A => MX2_404_Y, B => MX2_13_Y, S =>
2421
        ADDRB_FF2_2_net, Y => MX2_324_Y);
2422
    MX2_61 : MX2
2423
      port map(A => QBX_TEMPR4_1_net, B => QBX_TEMPR5_1_net, S =>
2424
        BUFF_23_Y, Y => MX2_61_Y);
2425
    MX2_276 : MX2
2426
      port map(A => QAX_TEMPR4_7_net, B => QAX_TEMPR5_7_net, S =>
2427
        BUFF_10_Y, Y => MX2_276_Y);
2428
    MX2_78 : MX2
2429
      port map(A => MX2_142_Y, B => MX2_35_Y, S =>
2430
        ADDRA_FF2_2_net, Y => MX2_78_Y);
2431
    MX2_265 : MX2
2432
      port map(A => QBX_TEMPR6_1_net, B => QBX_TEMPR7_1_net, S =>
2433
        BUFF_33_Y, Y => MX2_265_Y);
2434
    MX2_361 : MX2
2435
      port map(A => QAX_TEMPR10_0_net, B => QAX_TEMPR11_0_net,
2436
        S => BUFF_18_Y, Y => MX2_361_Y);
2437
    BUFF_9 : BUFF
2438
      port map(A => ADDRA_FF2_0_net, Y => BUFF_9_Y);
2439
    MX2_211 : MX2
2440
      port map(A => QBX_TEMPR12_6_net, B => QBX_TEMPR13_6_net,
2441
        S => BUFF_3_Y, Y => MX2_211_Y);
2442
    BUFF_35 : BUFF
2443
      port map(A => ADDRA_FF2_1_net, Y => BUFF_35_Y);
2444
    MX2_217 : MX2
2445
      port map(A => QAX_TEMPR8_13_net, B => QAX_TEMPR9_13_net,
2446
        S => BUFF_15_Y, Y => MX2_217_Y);
2447
    BUFF_30 : BUFF
2448
      port map(A => ADDRA_FF2_1_net, Y => BUFF_30_Y);
2449
    ORB_GATE_3_inst : OR2
2450
      port map(A => ENABLE_ADDRB_3_net, B => WEBP, Y =>
2451
        BLKB_EN_3_net);
2452
    MX2_68 : MX2
2453
      port map(A => QBX_TEMPR8_15_net, B => QBX_TEMPR9_15_net,
2454
        S => BUFF_16_Y, Y => MX2_68_Y);
2455
    MX2_25 : MX2
2456
      port map(A => QAX_TEMPR8_14_net, B => QAX_TEMPR9_14_net,
2457
        S => BUFF_15_Y, Y => MX2_25_Y);
2458
    MX2_DOUTB_8_inst : MX2
2459
      port map(A => MX2_194_Y, B => MX2_225_Y, S =>
2460
        ADDRB_FF2_3_net, Y => DOUTB(8));
2461
    MX2_281 : MX2
2462
      port map(A => MX2_270_Y, B => MX2_414_Y, S => BUFF_7_Y,
2463
        Y => MX2_281_Y);
2464
    MX2_287 : MX2
2465
      port map(A => QAX_TEMPR2_14_net, B => QAX_TEMPR3_14_net,
2466
        S => BUFF_15_Y, Y => MX2_287_Y);
2467
    NAND2_ENABLE_ADDRA_13_inst : NAND2
2468
      port map(A => AND2A_4_Y, B => AND2_3_Y, Y =>
2469
        ENABLE_ADDRA_13_net);
2470
    ORA_GATE_2_inst : OR2
2471
      port map(A => ENABLE_ADDRA_2_net, B => WEAP, Y =>
2472
        BLKA_EN_2_net);
2473
    BUFF_1 : BUFF
2474
      port map(A => ADDRB_FF2_1_net, Y => BUFF_1_Y);
2475
    MX2_169 : MX2
2476
      port map(A => MX2_96_Y, B => QAX_TEMPR14_0_net, S =>
2477
        BUFF_38_Y, Y => MX2_169_Y);
2478
    BUFF_18 : BUFF
2479
      port map(A => ADDRA_FF2_0_net, Y => BUFF_18_Y);
2480
    MX2_202 : MX2
2481
      port map(A => QBX_TEMPR0_1_net, B => QBX_TEMPR1_1_net, S =>
2482
        BUFF_23_Y, Y => MX2_202_Y);
2483
    MX2_346 : MX2
2484
      port map(A => MX2_98_Y, B => MX2_383_Y, S =>
2485
        ADDRA_FF2_2_net, Y => MX2_346_Y);
2486
    MX2_40 : MX2
2487
      port map(A => QAX_TEMPR12_4_net, B => QAX_TEMPR13_4_net,
2488
        S => BUFF_22_Y, Y => MX2_40_Y);
2489
    MX2_174 : MX2
2490
      port map(A => MX2_51_Y, B => MX2_223_Y, S => BUFF_17_Y,
2491
        Y => MX2_174_Y);
2492
    MX2_108 : MX2
2493
      port map(A => MX2_207_Y, B => QAX_TEMPR14_13_net, S =>
2494
        BUFF_8_Y, Y => MX2_108_Y);
2495
    MX2_378 : MX2
2496
      port map(A => QAX_TEMPR10_12_net, B => QAX_TEMPR11_12_net,
2497
        S => BUFF_37_Y, Y => MX2_378_Y);
2498
    MX2_394 : MX2
2499
      port map(A => QAX_TEMPR10_11_net, B => QAX_TEMPR11_11_net,
2500
        S => BUFF_14_Y, Y => MX2_394_Y);
2501
    MX2_373 : MX2
2502
      port map(A => MX2_219_Y, B => MX2_415_Y, S =>
2503
        ADDRB_FF2_2_net, Y => MX2_373_Y);
2504
    MX2_365 : MX2
2505
      port map(A => MX2_17_Y, B => MX2_31_Y, S => BUFF_35_Y, Y =>
2506
        MX2_365_Y);
2507
    ORB_GATE_13_inst : OR2
2508
      port map(A => ENABLE_ADDRB_13_net, B => WEBP, Y =>
2509
        BLKB_EN_13_net);
2510
    MX2_259 : MX2
2511
      port map(A => MX2_261_Y, B => MX2_30_Y, S =>
2512
        ADDRB_FF2_2_net, Y => MX2_259_Y);
2513
    BUFF_2 : BUFF
2514
      port map(A => ADDRB_FF2_0_net, Y => BUFF_2_Y);
2515
    BUFF_28 : BUFF
2516
      port map(A => ADDRB_FF2_0_net, Y => BUFF_28_Y);
2517
    BUFF_0 : BUFF
2518
      port map(A => ADDRA_FF2_0_net, Y => BUFF_0_Y);
2519
    MX2_DOUTB_11_inst : MX2
2520
      port map(A => MX2_233_Y, B => MX2_210_Y, S =>
2521
        ADDRB_FF2_3_net, Y => DOUTB(11));
2522
    MX2_342 : MX2
2523
      port map(A => MX2_10_Y, B => MX2_66_Y, S => BUFF_25_Y, Y =>
2524
        MX2_342_Y);
2525
    dual_port_memory_R1C1 : RAM4K9
2526
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2527
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2528
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2529
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2530
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2531
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2532
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2533
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2534
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2535
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2536
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2537
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
2538
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
2539
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2540
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2541
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
2542
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
2543
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2544
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2545
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_1_net,
2546
        BLKB => BLKB_EN_1_net, WENA => RWA, WENB => RWB, CLKA =>
2547
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2548
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2549
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR1_7_net, DOUTA2 =>
2550
        QAX_TEMPR1_6_net, DOUTA1 => QAX_TEMPR1_5_net, DOUTA0 =>
2551
        QAX_TEMPR1_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
2552
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2553
        DOUTB3 => QBX_TEMPR1_7_net, DOUTB2 => QBX_TEMPR1_6_net,
2554
        DOUTB1 => QBX_TEMPR1_5_net, DOUTB0 => QBX_TEMPR1_4_net);
2555
    MX2_143 : MX2
2556
      port map(A => MX2_38_Y, B => MX2_238_Y, S => BUFF_4_Y, Y =>
2557
        MX2_143_Y);
2558
    MX2_349 : MX2
2559
      port map(A => QBX_TEMPR12_4_net, B => QBX_TEMPR13_4_net,
2560
        S => BUFF_12_Y, Y => MX2_349_Y);
2561
    MX2_83 : MX2
2562
      port map(A => MX2_343_Y, B => MX2_143_Y, S =>
2563
        ADDRB_FF2_2_net, Y => MX2_83_Y);
2564
    MX2_270 : MX2
2565
      port map(A => QBX_TEMPR0_2_net, B => QBX_TEMPR1_2_net, S =>
2566
        BUFF_33_Y, Y => MX2_270_Y);
2567
    dual_port_memory_R13C1 : RAM4K9
2568
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2569
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2570
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2571
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2572
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2573
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2574
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2575
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2576
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2577
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2578
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2579
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
2580
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
2581
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2582
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2583
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
2584
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
2585
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2586
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2587
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_13_net,
2588
        BLKB => BLKB_EN_13_net, WENA => RWA, WENB => RWB, CLKA =>
2589
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2590
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2591
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR13_7_net, DOUTA2 =>
2592
        QAX_TEMPR13_6_net, DOUTA1 => QAX_TEMPR13_5_net, DOUTA0 =>
2593
        QAX_TEMPR13_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
2594
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2595
        DOUTB3 => QBX_TEMPR13_7_net, DOUTB2 => QBX_TEMPR13_6_net,
2596
        DOUTB1 => QBX_TEMPR13_5_net, DOUTB0 => QBX_TEMPR13_4_net);
2597
    MX2_120 : MX2
2598
      port map(A => MX2_193_Y, B => MX2_361_Y, S => BUFF_38_Y,
2599
        Y => MX2_120_Y);
2600
    MX2_357 : MX2
2601
      port map(A => MX2_95_Y, B => MX2_407_Y, S =>
2602
        ADDRB_FF2_2_net, Y => MX2_357_Y);
2603
    MX2_DOUTA_0_inst : MX2
2604
      port map(A => MX2_284_Y, B => MX2_59_Y, S =>
2605
        ADDRA_FF2_3_net, Y => DOUTA(0));
2606
    dual_port_memory_R4C0 : RAM4K9
2607
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2608
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2609
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2610
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2611
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2612
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2613
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2614
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2615
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2616
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2617
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2618
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
2619
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
2620
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2621
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2622
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
2623
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
2624
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2625
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2626
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_4_net,
2627
        BLKB => BLKB_EN_4_net, WENA => RWA, WENB => RWB, CLKA =>
2628
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2629
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2630
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR4_3_net, DOUTA2 =>
2631
        QAX_TEMPR4_2_net, DOUTA1 => QAX_TEMPR4_1_net, DOUTA0 =>
2632
        QAX_TEMPR4_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
2633
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2634
        DOUTB3 => QBX_TEMPR4_3_net, DOUTB2 => QBX_TEMPR4_2_net,
2635
        DOUTB1 => QBX_TEMPR4_1_net, DOUTB0 => QBX_TEMPR4_0_net);
2636
    MX2_171 : MX2
2637
      port map(A => QBX_TEMPR10_7_net, B => QBX_TEMPR11_7_net,
2638
        S => BUFF_3_Y, Y => MX2_171_Y);
2639
    MX2_253 : MX2
2640
      port map(A => MX2_242_Y, B => MX2_382_Y, S => BUFF_13_Y,
2641
        Y => MX2_253_Y);
2642
    MX2_204 : MX2
2643
      port map(A => MX2_3_Y, B => MX2_401_Y, S => BUFF_1_Y, Y =>
2644
        MX2_204_Y);
2645
    ORA_GATE_7_inst : OR2
2646
      port map(A => ENABLE_ADDRA_7_net, B => WEAP, Y =>
2647
        BLKA_EN_7_net);
2648
    AFF1_3_inst : DFN1
2649
      port map(D => ADDRA(13), CLK => CLKA, Q => ADDRA_FF2_3_net);
2650
    MX2_236 : MX2
2651
      port map(A => QBX_TEMPR6_3_net, B => QBX_TEMPR7_3_net, S =>
2652
        BUFF_5_Y, Y => MX2_236_Y);
2653
    MX2_262 : MX2
2654
      port map(A => QAX_TEMPR4_15_net, B => QAX_TEMPR5_15_net,
2655
        S => BUFF_9_Y, Y => MX2_262_Y);
2656
    MX2_46 : MX2
2657
      port map(A => MX2_246_Y, B => MX2_295_Y, S => BUFF_31_Y,
2658
        Y => MX2_46_Y);
2659
    dual_port_memory_R4C1 : RAM4K9
2660
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2661
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2662
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2663
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2664
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2665
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2666
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2667
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2668
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2669
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2670
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2671
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
2672
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
2673
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2674
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2675
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
2676
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
2677
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2678
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2679
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_4_net,
2680
        BLKB => BLKB_EN_4_net, WENA => RWA, WENB => RWB, CLKA =>
2681
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2682
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2683
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR4_7_net, DOUTA2 =>
2684
        QAX_TEMPR4_6_net, DOUTA1 => QAX_TEMPR4_5_net, DOUTA0 =>
2685
        QAX_TEMPR4_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
2686
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2687
        DOUTB3 => QBX_TEMPR4_7_net, DOUTB2 => QBX_TEMPR4_6_net,
2688
        DOUTB1 => QBX_TEMPR4_5_net, DOUTB0 => QBX_TEMPR4_4_net);
2689
    MX2_35 : MX2
2690
      port map(A => MX2_263_Y, B => QAX_TEMPR14_11_net, S =>
2691
        BUFF_32_Y, Y => MX2_35_Y);
2692
    MX2_168 : MX2
2693
      port map(A => QAX_TEMPR6_6_net, B => QAX_TEMPR7_6_net, S =>
2694
        BUFF_26_Y, Y => MX2_168_Y);
2695
    MX2_275 : MX2
2696
      port map(A => QBX_TEMPR8_10_net, B => QBX_TEMPR9_10_net,
2697
        S => BUFF_28_Y, Y => MX2_275_Y);
2698
    MX2_DOUTB_12_inst : MX2
2699
      port map(A => MX2_324_Y, B => MX2_307_Y, S =>
2700
        ADDRB_FF2_3_net, Y => DOUTB(12));
2701
    MX2_371 : MX2
2702
      port map(A => MX2_101_Y, B => MX2_168_Y, S => BUFF_27_Y,
2703
        Y => MX2_371_Y);
2704
    MX2_410 : MX2
2705
      port map(A => QAX_TEMPR10_8_net, B => QAX_TEMPR11_8_net,
2706
        S => BUFF_11_Y, Y => MX2_410_Y);
2707
    NAND2_ENABLE_ADDRA_0_inst : NAND2
2708
      port map(A => NOR2_2_Y, B => NOR2_3_Y, Y =>
2709
        ENABLE_ADDRA_0_net);
2710
    MX2_258 : MX2
2711
      port map(A => MX2_226_Y, B => MX2_213_Y, S =>
2712
        ADDRB_FF2_2_net, Y => MX2_258_Y);
2713
    dual_port_memory_R7C1 : RAM4K9
2714
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2715
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2716
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2717
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2718
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2719
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2720
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2721
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2722
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2723
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2724
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2725
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
2726
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
2727
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2728
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2729
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
2730
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
2731
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2732
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2733
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_7_net,
2734
        BLKB => BLKB_EN_7_net, WENA => RWA, WENB => RWB, CLKA =>
2735
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2736
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2737
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR7_7_net, DOUTA2 =>
2738
        QAX_TEMPR7_6_net, DOUTA1 => QAX_TEMPR7_5_net, DOUTA0 =>
2739
        QAX_TEMPR7_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
2740
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2741
        DOUTB3 => QBX_TEMPR7_7_net, DOUTB2 => QBX_TEMPR7_6_net,
2742
        DOUTB1 => QBX_TEMPR7_5_net, DOUTB0 => QBX_TEMPR7_4_net);
2743
    MX2_142 : MX2
2744
      port map(A => MX2_53_Y, B => MX2_394_Y, S => BUFF_32_Y,
2745
        Y => MX2_142_Y);
2746
    MX2_134 : MX2
2747
      port map(A => QBX_TEMPR0_7_net, B => QBX_TEMPR1_7_net, S =>
2748
        BUFF_3_Y, Y => MX2_134_Y);
2749
    MX2_2 : MX2
2750
      port map(A => QAX_TEMPR4_13_net, B => QAX_TEMPR5_13_net,
2751
        S => BUFF_37_Y, Y => MX2_2_Y);
2752
    MX2_156 : MX2
2753
      port map(A => MX2_367_Y, B => MX2_356_Y, S =>
2754
        ADDRA_FF2_2_net, Y => MX2_156_Y);
2755
    dual_port_memory_R10C1 : RAM4K9
2756
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2757
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2758
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2759
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2760
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2761
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2762
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2763
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2764
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2765
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2766
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2767
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
2768
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
2769
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2770
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2771
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
2772
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
2773
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2774
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2775
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_10_net,
2776
        BLKB => BLKB_EN_10_net, WENA => RWA, WENB => RWB, CLKA =>
2777
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2778
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2779
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR10_7_net, DOUTA2 =>
2780
        QAX_TEMPR10_6_net, DOUTA1 => QAX_TEMPR10_5_net, DOUTA0 =>
2781
        QAX_TEMPR10_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
2782
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2783
        DOUTB3 => QBX_TEMPR10_7_net, DOUTB2 => QBX_TEMPR10_6_net,
2784
        DOUTB1 => QBX_TEMPR10_5_net, DOUTB0 => QBX_TEMPR10_4_net);
2785
    MX2_DOUTB_1_inst : MX2
2786
      port map(A => MX2_131_Y, B => MX2_208_Y, S =>
2787
        ADDRB_FF2_3_net, Y => DOUTB(1));
2788
    MX2_190 : MX2
2789
      port map(A => QBX_TEMPR4_3_net, B => QBX_TEMPR5_3_net, S =>
2790
        BUFF_5_Y, Y => MX2_190_Y);
2791
    MX2_155 : MX2
2792
      port map(A => QAX_TEMPR0_2_net, B => QAX_TEMPR1_2_net, S =>
2793
        BUFF_21_Y, Y => MX2_155_Y);
2794
    MX2_179 : MX2
2795
      port map(A => QAX_TEMPR6_2_net, B => QAX_TEMPR7_2_net, S =>
2796
        BUFF_21_Y, Y => MX2_179_Y);
2797
    MX2_338 : MX2
2798
      port map(A => QAX_TEMPR10_3_net, B => QAX_TEMPR11_3_net,
2799
        S => BUFF_0_Y, Y => MX2_338_Y);
2800
    dual_port_memory_R10C2 : RAM4K9
2801
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2802
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2803
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2804
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2805
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2806
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2807
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2808
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2809
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2810
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2811
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2812
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
2813
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
2814
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2815
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2816
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
2817
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
2818
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2819
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2820
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_10_net,
2821
        BLKB => BLKB_EN_10_net, WENA => RWA, WENB => RWB, CLKA =>
2822
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2823
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2824
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR10_11_net, DOUTA2 =>
2825
        QAX_TEMPR10_10_net, DOUTA1 => QAX_TEMPR10_9_net,
2826
        DOUTA0 => QAX_TEMPR10_8_net, DOUTB8 => OPEN , DOUTB7 =>
2827
        OPEN , DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2828
        DOUTB3 => QBX_TEMPR10_11_net, DOUTB2 =>
2829
        QBX_TEMPR10_10_net, DOUTB1 => QBX_TEMPR10_9_net,
2830
        DOUTB0 => QBX_TEMPR10_8_net);
2831
    MX2_333 : MX2
2832
      port map(A => QAX_TEMPR0_8_net, B => QAX_TEMPR1_8_net, S =>
2833
        BUFF_11_Y, Y => MX2_333_Y);
2834
    MX2_350 : MX2
2835
      port map(A => MX2_187_Y, B => QAX_TEMPR14_9_net, S =>
2836
        BUFF_31_Y, Y => MX2_350_Y);
2837
    MX2_264 : MX2
2838
      port map(A => QAX_TEMPR10_13_net, B => QAX_TEMPR11_13_net,
2839
        S => BUFF_15_Y, Y => MX2_264_Y);
2840
    MX2_52 : MX2
2841
      port map(A => MX2_325_Y, B => QBX_TEMPR14_7_net, S =>
2842
        BUFF_25_Y, Y => MX2_52_Y);
2843
    NAND2_ENABLE_ADDRB_0_inst : NAND2
2844
      port map(A => NOR2_1_Y, B => NOR2_0_Y, Y =>
2845
        ENABLE_ADDRB_0_net);
2846
    MX2_81 : MX2
2847
      port map(A => QAX_TEMPR4_14_net, B => QAX_TEMPR5_14_net,
2848
        S => BUFF_15_Y, Y => MX2_81_Y);
2849
    MX2_375 : MX2
2850
      port map(A => QAX_TEMPR12_2_net, B => QAX_TEMPR13_2_net,
2851
        S => BUFF_0_Y, Y => MX2_375_Y);
2852
    MX2_306 : MX2
2853
      port map(A => QAX_TEMPR0_12_net, B => QAX_TEMPR1_12_net,
2854
        S => BUFF_37_Y, Y => MX2_306_Y);
2855
    BFF1_0_inst : DFN1
2856
      port map(D => ADDRB(10), CLK => CLKB, Q => ADDRB_FF2_0_net);
2857
    MX2_92 : MX2
2858
      port map(A => MX2_24_Y, B => MX2_358_Y, S => BUFF_30_Y,
2859
        Y => MX2_92_Y);
2860
    dual_port_memory_R12C3 : RAM4K9
2861
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2862
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2863
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2864
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2865
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2866
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2867
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2868
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2869
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2870
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2871
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2872
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
2873
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
2874
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2875
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2876
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
2877
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
2878
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2879
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2880
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_12_net,
2881
        BLKB => BLKB_EN_12_net, WENA => RWA, WENB => RWB, CLKA =>
2882
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2883
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2884
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR12_15_net, DOUTA2 =>
2885
        QAX_TEMPR12_14_net, DOUTA1 => QAX_TEMPR12_13_net,
2886
        DOUTA0 => QAX_TEMPR12_12_net, DOUTB8 => OPEN , DOUTB7 =>
2887
        OPEN , DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2888
        DOUTB3 => QBX_TEMPR12_15_net, DOUTB2 =>
2889
        QBX_TEMPR12_14_net, DOUTB1 => QBX_TEMPR12_13_net,
2890
        DOUTB0 => QBX_TEMPR12_12_net);
2891
    MX2_88 : MX2
2892
      port map(A => MX2_306_Y, B => MX2_312_Y, S => BUFF_8_Y,
2893
        Y => MX2_88_Y);
2894
    MX2_216 : MX2
2895
      port map(A => MX2_163_Y, B => MX2_243_Y, S =>
2896
        ADDRA_FF2_2_net, Y => MX2_216_Y);
2897
    BUFF_14 : BUFF
2898
      port map(A => ADDRA_FF2_0_net, Y => BUFF_14_Y);
2899
    NAND2_ENABLE_ADDRA_4_inst : NAND2
2900
      port map(A => NOR2_2_Y, B => AND2A_5_Y, Y =>
2901
        ENABLE_ADDRA_4_net);
2902
    MX2_230 : MX2
2903
      port map(A => QBX_TEMPR0_4_net, B => QBX_TEMPR1_4_net, S =>
2904
        BUFF_12_Y, Y => MX2_230_Y);
2905
    MX2_131 : MX2
2906
      port map(A => MX2_376_Y, B => MX2_173_Y, S =>
2907
        ADDRB_FF2_2_net, Y => MX2_131_Y);
2908
    MX2_286 : MX2
2909
      port map(A => MX2_311_Y, B => MX2_60_Y, S =>
2910
        ADDRB_FF2_2_net, Y => MX2_286_Y);
2911
    ORB_GATE_12_inst : OR2
2912
      port map(A => ENABLE_ADDRB_12_net, B => WEBP, Y =>
2913
        BLKB_EN_12_net);
2914
    dual_port_memory_R2C0 : RAM4K9
2915
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2916
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2917
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2918
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2919
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2920
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2921
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2922
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2923
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2924
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2925
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2926
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
2927
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
2928
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2929
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2930
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
2931
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
2932
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2933
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2934
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_2_net,
2935
        BLKB => BLKB_EN_2_net, WENA => RWA, WENB => RWB, CLKA =>
2936
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2937
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
2938
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR2_3_net, DOUTA2 =>
2939
        QAX_TEMPR2_2_net, DOUTA1 => QAX_TEMPR2_1_net, DOUTA0 =>
2940
        QAX_TEMPR2_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
2941
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
2942
        DOUTB3 => QBX_TEMPR2_3_net, DOUTB2 => QBX_TEMPR2_2_net,
2943
        DOUTB1 => QBX_TEMPR2_1_net, DOUTB0 => QBX_TEMPR2_0_net);
2944
    MX2_302 : MX2
2945
      port map(A => QBX_TEMPR12_15_net, B => QBX_TEMPR13_15_net,
2946
        S => BUFF_16_Y, Y => MX2_302_Y);
2947
    MX2_103 : MX2
2948
      port map(A => QBX_TEMPR8_3_net, B => QBX_TEMPR9_3_net, S =>
2949
        BUFF_5_Y, Y => MX2_103_Y);
2950
    BUFF_24 : BUFF
2951
      port map(A => ADDRB_FF2_0_net, Y => BUFF_24_Y);
2952
    MX2_309 : MX2
2953
      port map(A => QAX_TEMPR12_6_net, B => QAX_TEMPR13_6_net,
2954
        S => BUFF_10_Y, Y => MX2_309_Y);
2955
    MX2_272 : MX2
2956
      port map(A => QBX_TEMPR8_8_net, B => QBX_TEMPR9_8_net, S =>
2957
        BUFF_34_Y, Y => MX2_272_Y);
2958
    MX2_235 : MX2
2959
      port map(A => QAX_TEMPR10_4_net, B => QAX_TEMPR11_4_net,
2960
        S => BUFF_22_Y, Y => MX2_235_Y);
2961
    MX2_331 : MX2
2962
      port map(A => QBX_TEMPR8_11_net, B => QBX_TEMPR9_11_net,
2963
        S => BUFF_39_Y, Y => MX2_331_Y);
2964
    MX2_47 : MX2
2965
      port map(A => MX2_121_Y, B => MX2_23_Y, S => BUFF_36_Y,
2966
        Y => MX2_47_Y);
2967
    MX2_24 : MX2
2968
      port map(A => QAX_TEMPR8_15_net, B => QAX_TEMPR9_15_net,
2969
        S => BUFF_9_Y, Y => MX2_24_Y);
2970
    MX2_DOUTB_7_inst : MX2
2971
      port map(A => MX2_254_Y, B => MX2_340_Y, S =>
2972
        ADDRB_FF2_3_net, Y => DOUTB(7));
2973
    MX2_DOUTA_13_inst : MX2
2974
      port map(A => MX2_105_Y, B => MX2_26_Y, S =>
2975
        ADDRA_FF2_3_net, Y => DOUTA(13));
2976
    dual_port_memory_R14C1 : RAM4K9
2977
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
2978
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
2979
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
2980
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
2981
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
2982
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
2983
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
2984
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
2985
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
2986
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
2987
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
2988
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
2989
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
2990
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
2991
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
2992
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
2993
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
2994
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
2995
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
2996
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_14_net,
2997
        BLKB => BLKB_EN_14_net, WENA => RWA, WENB => RWB, CLKA =>
2998
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
2999
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3000
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR14_7_net, DOUTA2 =>
3001
        QAX_TEMPR14_6_net, DOUTA1 => QAX_TEMPR14_5_net, DOUTA0 =>
3002
        QAX_TEMPR14_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
3003
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3004
        DOUTB3 => QBX_TEMPR14_7_net, DOUTB2 => QBX_TEMPR14_6_net,
3005
        DOUTB1 => QBX_TEMPR14_5_net, DOUTB0 => QBX_TEMPR14_4_net);
3006
    MX2_178 : MX2
3007
      port map(A => QAX_TEMPR8_4_net, B => QAX_TEMPR9_4_net, S =>
3008
        BUFF_22_Y, Y => MX2_178_Y);
3009
    MX2_114 : MX2
3010
      port map(A => QBX_TEMPR0_6_net, B => QBX_TEMPR1_6_net, S =>
3011
        BUFF_6_Y, Y => MX2_114_Y);
3012
    MX2_59 : MX2
3013
      port map(A => MX2_120_Y, B => MX2_169_Y, S =>
3014
        ADDRA_FF2_2_net, Y => MX2_59_Y);
3015
    dual_port_memory_R12C1 : RAM4K9
3016
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3017
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3018
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3019
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3020
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3021
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3022
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3023
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3024
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3025
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3026
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3027
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
3028
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
3029
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3030
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3031
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
3032
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
3033
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3034
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3035
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_12_net,
3036
        BLKB => BLKB_EN_12_net, WENA => RWA, WENB => RWB, CLKA =>
3037
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3038
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3039
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR12_7_net, DOUTA2 =>
3040
        QAX_TEMPR12_6_net, DOUTA1 => QAX_TEMPR12_5_net, DOUTA0 =>
3041
        QAX_TEMPR12_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
3042
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3043
        DOUTB3 => QBX_TEMPR12_7_net, DOUTB2 => QBX_TEMPR12_6_net,
3044
        DOUTB1 => QBX_TEMPR12_5_net, DOUTB0 => QBX_TEMPR12_4_net);
3045
    dual_port_memory_R5C3 : RAM4K9
3046
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3047
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3048
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3049
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3050
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3051
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3052
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3053
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3054
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3055
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3056
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3057
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
3058
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
3059
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3060
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3061
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
3062
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
3063
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3064
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3065
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_5_net,
3066
        BLKB => BLKB_EN_5_net, WENA => RWA, WENB => RWB, CLKA =>
3067
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3068
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3069
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR5_15_net, DOUTA2 =>
3070
        QAX_TEMPR5_14_net, DOUTA1 => QAX_TEMPR5_13_net, DOUTA0 =>
3071
        QAX_TEMPR5_12_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
3072
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3073
        DOUTB3 => QBX_TEMPR5_15_net, DOUTB2 => QBX_TEMPR5_14_net,
3074
        DOUTB1 => QBX_TEMPR5_13_net, DOUTB0 => QBX_TEMPR5_12_net);
3075
    MX2_366 : MX2
3076
      port map(A => QBX_TEMPR4_4_net, B => QBX_TEMPR5_4_net, S =>
3077
        BUFF_12_Y, Y => MX2_366_Y);
3078
    MX2_318 : MX2
3079
      port map(A => QBX_TEMPR12_12_net, B => QBX_TEMPR13_12_net,
3080
        S => BUFF_24_Y, Y => MX2_318_Y);
3081
    ORA_GATE_8_inst : OR2
3082
      port map(A => ENABLE_ADDRA_8_net, B => WEAP, Y =>
3083
        BLKA_EN_8_net);
3084
    MX2_127 : MX2
3085
      port map(A => MX2_197_Y, B => MX2_410_Y, S => BUFF_31_Y,
3086
        Y => MX2_127_Y);
3087
    MX2_3 : MX2
3088
      port map(A => QBX_TEMPR0_9_net, B => QBX_TEMPR1_9_net, S =>
3089
        BUFF_34_Y, Y => MX2_3_Y);
3090
    MX2_99 : MX2
3091
      port map(A => MX2_135_Y, B => MX2_303_Y, S => BUFF_17_Y,
3092
        Y => MX2_99_Y);
3093
    MX2_313 : MX2
3094
      port map(A => MX2_144_Y, B => MX2_20_Y, S =>
3095
        ADDRB_FF2_2_net, Y => MX2_313_Y);
3096
    MX2_184 : MX2
3097
      port map(A => MX2_212_Y, B => QBX_TEMPR14_8_net, S =>
3098
        BUFF_1_Y, Y => MX2_184_Y);
3099
    dual_port_memory_R7C0 : RAM4K9
3100
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3101
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3102
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3103
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3104
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3105
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3106
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3107
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3108
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3109
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3110
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3111
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
3112
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
3113
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3114
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3115
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
3116
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
3117
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3118
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3119
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_7_net,
3120
        BLKB => BLKB_EN_7_net, WENA => RWA, WENB => RWB, CLKA =>
3121
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3122
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3123
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR7_3_net, DOUTA2 =>
3124
        QAX_TEMPR7_2_net, DOUTA1 => QAX_TEMPR7_1_net, DOUTA0 =>
3125
        QAX_TEMPR7_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
3126
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3127
        DOUTB3 => QBX_TEMPR7_3_net, DOUTB2 => QBX_TEMPR7_2_net,
3128
        DOUTB1 => QBX_TEMPR7_1_net, DOUTB0 => QBX_TEMPR7_0_net);
3129
    MX2_354 : MX2
3130
      port map(A => MX2_39_Y, B => MX2_45_Y, S => ADDRA_FF2_2_net,
3131
        Y => MX2_354_Y);
3132
    MX2_412 : MX2
3133
      port map(A => MX2_149_Y, B => MX2_71_Y, S =>
3134
        ADDRA_FF2_2_net, Y => MX2_412_Y);
3135
    MX2_388 : MX2
3136
      port map(A => QAX_TEMPR0_5_net, B => QAX_TEMPR1_5_net, S =>
3137
        BUFF_22_Y, Y => MX2_388_Y);
3138
    MX2_139 : MX2
3139
      port map(A => QAX_TEMPR4_0_net, B => QAX_TEMPR5_0_net, S =>
3140
        BUFF_18_Y, Y => MX2_139_Y);
3141
    MX2_383 : MX2
3142
      port map(A => MX2_40_Y, B => QAX_TEMPR14_4_net, S =>
3143
        BUFF_35_Y, Y => MX2_383_Y);
3144
    ORA_GATE_10_inst : OR2
3145
      port map(A => ENABLE_ADDRA_10_net, B => WEAP, Y =>
3146
        BLKA_EN_10_net);
3147
    MX2_12 : MX2
3148
      port map(A => MX2_255_Y, B => MX2_344_Y, S => BUFF_25_Y,
3149
        Y => MX2_12_Y);
3150
    MX2_249 : MX2
3151
      port map(A => MX2_134_Y, B => MX2_386_Y, S => BUFF_25_Y,
3152
        Y => MX2_249_Y);
3153
    AND2_2 : AND2
3154
      port map(A => ADDRA(11), B => ADDRA(10), Y => AND2_2_Y);
3155
    MX2_362 : MX2
3156
      port map(A => MX2_276_Y, B => MX2_90_Y, S => BUFF_27_Y,
3157
        Y => MX2_362_Y);
3158
    MX2_102 : MX2
3159
      port map(A => MX2_43_Y, B => MX2_89_Y, S => BUFF_7_Y, Y =>
3160
        MX2_102_Y);
3161
    MX2_163 : MX2
3162
      port map(A => MX2_37_Y, B => MX2_86_Y, S => BUFF_27_Y, Y =>
3163
        MX2_163_Y);
3164
    MX2_335 : MX2
3165
      port map(A => MX2_70_Y, B => MX2_345_Y, S =>
3166
        ADDRA_FF2_2_net, Y => MX2_335_Y);
3167
    MX2_210 : MX2
3168
      port map(A => MX2_176_Y, B => MX2_159_Y, S =>
3169
        ADDRB_FF2_2_net, Y => MX2_210_Y);
3170
    NAND2_ENABLE_ADDRB_7_inst : NAND2
3171
      port map(A => AND2_1_Y, B => AND2A_0_Y, Y =>
3172
        ENABLE_ADDRB_7_net);
3173
    dual_port_memory_R0C1 : RAM4K9
3174
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3175
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3176
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3177
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3178
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3179
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3180
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3181
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3182
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3183
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3184
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3185
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
3186
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
3187
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3188
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3189
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
3190
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
3191
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3192
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3193
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_0_net,
3194
        BLKB => BLKB_EN_0_net, WENA => RWA, WENB => RWB, CLKA =>
3195
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3196
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3197
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR0_7_net, DOUTA2 =>
3198
        QAX_TEMPR0_6_net, DOUTA1 => QAX_TEMPR0_5_net, DOUTA0 =>
3199
        QAX_TEMPR0_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
3200
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3201
        DOUTB3 => QBX_TEMPR0_7_net, DOUTB2 => QBX_TEMPR0_6_net,
3202
        DOUTB1 => QBX_TEMPR0_5_net, DOUTB0 => QBX_TEMPR0_4_net);
3203
    MX2_369 : MX2
3204
      port map(A => QAX_TEMPR0_13_net, B => QAX_TEMPR1_13_net,
3205
        S => BUFF_37_Y, Y => MX2_369_Y);
3206
    MX2_274 : MX2
3207
      port map(A => QBX_TEMPR2_0_net, B => QBX_TEMPR3_0_net, S =>
3208
        BUFF_23_Y, Y => MX2_274_Y);
3209
    MX2_111 : MX2
3210
      port map(A => MX2_77_Y, B => MX2_372_Y, S => BUFF_1_Y, Y =>
3211
        MX2_111_Y);
3212
    BUFF_15 : BUFF
3213
      port map(A => ADDRA_FF2_0_net, Y => BUFF_15_Y);
3214
    BUFF_10 : BUFF
3215
      port map(A => ADDRA_FF2_0_net, Y => BUFF_10_Y);
3216
    MX2_404 : MX2
3217
      port map(A => MX2_359_Y, B => MX2_245_Y, S => BUFF_4_Y,
3218
        Y => MX2_404_Y);
3219
    dual_port_memory_R5C0 : RAM4K9
3220
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3221
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3222
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3223
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3224
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3225
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3226
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3227
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3228
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3229
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3230
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3231
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
3232
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
3233
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3234
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3235
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
3236
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
3237
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3238
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3239
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_5_net,
3240
        BLKB => BLKB_EN_5_net, WENA => RWA, WENB => RWB, CLKA =>
3241
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3242
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3243
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR5_3_net, DOUTA2 =>
3244
        QAX_TEMPR5_2_net, DOUTA1 => QAX_TEMPR5_1_net, DOUTA0 =>
3245
        QAX_TEMPR5_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
3246
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3247
        DOUTB3 => QBX_TEMPR5_3_net, DOUTB2 => QBX_TEMPR5_2_net,
3248
        DOUTB1 => QBX_TEMPR5_1_net, DOUTB0 => QBX_TEMPR5_0_net);
3249
    MX2_280 : MX2
3250
      port map(A => QAX_TEMPR2_15_net, B => QAX_TEMPR3_15_net,
3251
        S => BUFF_9_Y, Y => MX2_280_Y);
3252
    MX2_181 : MX2
3253
      port map(A => MX2_124_Y, B => MX2_231_Y, S => BUFF_35_Y,
3254
        Y => MX2_181_Y);
3255
    MX2_197 : MX2
3256
      port map(A => QAX_TEMPR8_8_net, B => QAX_TEMPR9_8_net, S =>
3257
        BUFF_11_Y, Y => MX2_197_Y);
3258
    MX2_347 : MX2
3259
      port map(A => MX2_204_Y, B => MX2_393_Y, S =>
3260
        ADDRB_FF2_2_net, Y => MX2_347_Y);
3261
    MX2_215 : MX2
3262
      port map(A => QBX_TEMPR0_8_net, B => QBX_TEMPR1_8_net, S =>
3263
        BUFF_34_Y, Y => MX2_215_Y);
3264
    MX2_311 : MX2
3265
      port map(A => MX2_68_Y, B => MX2_6_Y, S => BUFF_13_Y, Y =>
3266
        MX2_311_Y);
3267
    MX2_DOUTA_8_inst : MX2
3268
      port map(A => MX2_288_Y, B => MX2_106_Y, S =>
3269
        ADDRA_FF2_3_net, Y => DOUTA(8));
3270
    MX2_243 : MX2
3271
      port map(A => MX2_309_Y, B => QAX_TEMPR14_6_net, S =>
3272
        BUFF_27_Y, Y => MX2_243_Y);
3273
    MX2_DOUTB_5_inst : MX2
3274
      port map(A => MX2_373_Y, B => MX2_313_Y, S =>
3275
        ADDRB_FF2_3_net, Y => DOUTB(5));
3276
    NAND2_ENABLE_ADDRB_8_inst : NAND2
3277
      port map(A => NOR2_1_Y, B => AND2A_6_Y, Y =>
3278
        ENABLE_ADDRB_8_net);
3279
    dual_port_memory_R11C1 : RAM4K9
3280
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3281
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3282
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3283
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3284
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3285
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3286
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3287
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3288
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3289
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3290
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3291
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(7),
3292
        DINA2 => DINA(6), DINA1 => DINA(5), DINA0 => DINA(4),
3293
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3294
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3295
        DINB3 => DINB(7), DINB2 => DINB(6), DINB1 => DINB(5),
3296
        DINB0 => DINB(4), WIDTHA0 => GND_1_net, WIDTHA1 =>
3297
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3298
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3299
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_11_net,
3300
        BLKB => BLKB_EN_11_net, WENA => RWA, WENB => RWB, CLKA =>
3301
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3302
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3303
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR11_7_net, DOUTA2 =>
3304
        QAX_TEMPR11_6_net, DOUTA1 => QAX_TEMPR11_5_net, DOUTA0 =>
3305
        QAX_TEMPR11_4_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
3306
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3307
        DOUTB3 => QBX_TEMPR11_7_net, DOUTB2 => QBX_TEMPR11_6_net,
3308
        DOUTB1 => QBX_TEMPR11_5_net, DOUTB0 => QBX_TEMPR11_4_net);
3309
    BUFF_25 : BUFF
3310
      port map(A => ADDRB_FF2_1_net, Y => BUFF_25_Y);
3311
    BUFF_20 : BUFF
3312
      port map(A => ADDRA_FF2_0_net, Y => BUFF_20_Y);
3313
    MX2_34 : MX2
3314
      port map(A => QBX_TEMPR10_12_net, B => QBX_TEMPR11_12_net,
3315
        S => BUFF_24_Y, Y => MX2_34_Y);
3316
    MX2_285 : MX2
3317
      port map(A => QAX_TEMPR4_3_net, B => QAX_TEMPR5_3_net, S =>
3318
        BUFF_0_Y, Y => MX2_285_Y);
3319
    MX2_381 : MX2
3320
      port map(A => MX2_256_Y, B => MX2_94_Y, S => BUFF_13_Y,
3321
        Y => MX2_381_Y);
3322
    MX2_409 : MX2
3323
      port map(A => MX2_46_Y, B => MX2_350_Y, S =>
3324
        ADDRA_FF2_2_net, Y => MX2_409_Y);
3325
    MX2_232 : MX2
3326
      port map(A => MX2_336_Y, B => MX2_200_Y, S =>
3327
        ADDRB_FF2_2_net, Y => MX2_232_Y);
3328
    MX2_19 : MX2
3329
      port map(A => MX2_56_Y, B => QBX_TEMPR14_10_net, S =>
3330
        BUFF_19_Y, Y => MX2_19_Y);
3331
    MX2_43 : MX2
3332
      port map(A => QBX_TEMPR8_2_net, B => QBX_TEMPR9_2_net, S =>
3333
        BUFF_33_Y, Y => MX2_43_Y);
3334
    MX2_138 : MX2
3335
      port map(A => MX2_333_Y, B => MX2_337_Y, S => BUFF_31_Y,
3336
        Y => MX2_138_Y);
3337
    AFF1_1_inst : DFN1
3338
      port map(D => ADDRA(11), CLK => CLKA, Q => ADDRA_FF2_1_net);
3339
    MX2_162 : MX2
3340
      port map(A => QAX_TEMPR0_10_net, B => QAX_TEMPR1_10_net,
3341
        S => BUFF_20_Y, Y => MX2_162_Y);
3342
    MX2_DOUTA_7_inst : MX2
3343
      port map(A => MX2_351_Y, B => MX2_327_Y, S =>
3344
        ADDRA_FF2_3_net, Y => DOUTA(7));
3345
    MX2_119 : MX2
3346
      port map(A => QBX_TEMPR2_4_net, B => QBX_TEMPR3_4_net, S =>
3347
        BUFF_12_Y, Y => MX2_119_Y);
3348
    MX2_150 : MX2
3349
      port map(A => MX2_9_Y, B => MX2_387_Y, S => ADDRA_FF2_2_net,
3350
        Y => MX2_150_Y);
3351
    MX2_248 : MX2
3352
      port map(A => QAX_TEMPR6_8_net, B => QAX_TEMPR7_8_net, S =>
3353
        BUFF_11_Y, Y => MX2_248_Y);
3354
    MX2_376 : MX2
3355
      port map(A => MX2_202_Y, B => MX2_180_Y, S => BUFF_36_Y,
3356
        Y => MX2_376_Y);
3357
    MX2_189 : MX2
3358
      port map(A => QAX_TEMPR12_14_net, B => QAX_TEMPR13_14_net,
3359
        S => BUFF_9_Y, Y => MX2_189_Y);
3360
    MX2_20 : MX2
3361
      port map(A => MX2_300_Y, B => QBX_TEMPR14_5_net, S =>
3362
        BUFF_29_Y, Y => MX2_20_Y);
3363
    MX2_146 : MX2
3364
      port map(A => QAX_TEMPR0_6_net, B => QAX_TEMPR1_6_net, S =>
3365
        BUFF_26_Y, Y => MX2_146_Y);
3366
    MX2_315 : MX2
3367
      port map(A => QBX_TEMPR4_5_net, B => QBX_TEMPR5_5_net, S =>
3368
        BUFF_12_Y, Y => MX2_315_Y);
3369
    MX2_145 : MX2
3370
      port map(A => QBX_TEMPR2_3_net, B => QBX_TEMPR3_3_net, S =>
3371
        BUFF_5_Y, Y => MX2_145_Y);
3372
    dual_port_memory_R2C2 : RAM4K9
3373
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3374
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3375
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3376
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3377
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3378
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3379
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3380
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3381
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3382
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3383
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3384
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
3385
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
3386
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3387
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3388
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
3389
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
3390
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3391
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3392
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_2_net,
3393
        BLKB => BLKB_EN_2_net, WENA => RWA, WENB => RWB, CLKA =>
3394
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3395
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3396
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR2_11_net, DOUTA2 =>
3397
        QAX_TEMPR2_10_net, DOUTA1 => QAX_TEMPR2_9_net, DOUTA0 =>
3398
        QAX_TEMPR2_8_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
3399
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3400
        DOUTB3 => QBX_TEMPR2_11_net, DOUTB2 => QBX_TEMPR2_10_net,
3401
        DOUTB1 => QBX_TEMPR2_9_net, DOUTB0 => QBX_TEMPR2_8_net);
3402
    MX2_340 : MX2
3403
      port map(A => MX2_201_Y, B => MX2_52_Y, S =>
3404
        ADDRB_FF2_2_net, Y => MX2_340_Y);
3405
    MX2_385 : MX2
3406
      port map(A => MX2_133_Y, B => MX2_12_Y, S =>
3407
        ADDRB_FF2_2_net, Y => MX2_385_Y);
3408
    MX2_72 : MX2
3409
      port map(A => MX2_318_Y, B => QBX_TEMPR14_12_net, S =>
3410
        BUFF_4_Y, Y => MX2_72_Y);
3411
    MX2_234 : MX2
3412
      port map(A => QBX_TEMPR4_10_net, B => QBX_TEMPR5_10_net,
3413
        S => BUFF_28_Y, Y => MX2_234_Y);
3414
    MX2_372 : MX2
3415
      port map(A => QBX_TEMPR10_9_net, B => QBX_TEMPR11_9_net,
3416
        S => BUFF_28_Y, Y => MX2_372_Y);
3417
    MX2_173 : MX2
3418
      port map(A => MX2_61_Y, B => MX2_265_Y, S => BUFF_36_Y,
3419
        Y => MX2_173_Y);
3420
    BUFF_32 : BUFF
3421
      port map(A => ADDRA_FF2_1_net, Y => BUFF_32_Y);
3422
    dual_port_memory_R4C3 : RAM4K9
3423
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3424
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3425
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3426
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3427
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3428
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3429
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3430
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3431
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3432
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3433
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3434
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
3435
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
3436
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3437
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3438
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
3439
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
3440
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3441
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3442
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_4_net,
3443
        BLKB => BLKB_EN_4_net, WENA => RWA, WENB => RWB, CLKA =>
3444
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3445
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3446
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR4_15_net, DOUTA2 =>
3447
        QAX_TEMPR4_14_net, DOUTA1 => QAX_TEMPR4_13_net, DOUTA0 =>
3448
        QAX_TEMPR4_12_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
3449
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3450
        DOUTB3 => QBX_TEMPR4_15_net, DOUTB2 => QBX_TEMPR4_14_net,
3451
        DOUTB1 => QBX_TEMPR4_13_net, DOUTB0 => QBX_TEMPR4_12_net);
3452
    MX2_379 : MX2
3453
      port map(A => MX2_290_Y, B => MX2_122_Y, S => BUFF_32_Y,
3454
        Y => MX2_379_Y);
3455
    MX2_62 : MX2
3456
      port map(A => MX2_160_Y, B => MX2_214_Y, S => BUFF_13_Y,
3457
        Y => MX2_62_Y);
3458
    MX2_209 : MX2
3459
      port map(A => MX2_294_Y, B => QAX_TEMPR14_15_net, S =>
3460
        BUFF_30_Y, Y => MX2_209_Y);
3461
    dual_port_memory_R5C2 : RAM4K9
3462
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3463
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3464
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3465
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3466
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3467
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3468
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3469
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3470
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3471
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3472
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3473
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
3474
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
3475
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3476
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3477
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
3478
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
3479
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3480
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3481
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_5_net,
3482
        BLKB => BLKB_EN_5_net, WENA => RWA, WENB => RWB, CLKA =>
3483
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3484
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3485
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR5_11_net, DOUTA2 =>
3486
        QAX_TEMPR5_10_net, DOUTA1 => QAX_TEMPR5_9_net, DOUTA0 =>
3487
        QAX_TEMPR5_8_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
3488
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3489
        DOUTB3 => QBX_TEMPR5_11_net, DOUTB2 => QBX_TEMPR5_10_net,
3490
        DOUTB1 => QBX_TEMPR5_9_net, DOUTB0 => QBX_TEMPR5_8_net);
3491
    MX2_221 : MX2
3492
      port map(A => MX2_308_Y, B => QAX_TEMPR14_12_net, S =>
3493
        BUFF_8_Y, Y => MX2_221_Y);
3494
    ORB_GATE_9_inst : OR2
3495
      port map(A => ENABLE_ADDRB_9_net, B => WEBP, Y =>
3496
        BLKB_EN_9_net);
3497
    MX2_212 : MX2
3498
      port map(A => QBX_TEMPR12_8_net, B => QBX_TEMPR13_8_net,
3499
        S => BUFF_34_Y, Y => MX2_212_Y);
3500
    MX2_227 : MX2
3501
      port map(A => MX2_100_Y, B => MX2_360_Y, S => BUFF_19_Y,
3502
        Y => MX2_227_Y);
3503
    MX2_41 : MX2
3504
      port map(A => QBX_TEMPR8_0_net, B => QBX_TEMPR9_0_net, S =>
3505
        BUFF_23_Y, Y => MX2_41_Y);
3506
    MX2_118 : MX2
3507
      port map(A => MX2_36_Y, B => MX2_218_Y, S => BUFF_27_Y,
3508
        Y => MX2_118_Y);
3509
    MX2_DOUTA_10_inst : MX2
3510
      port map(A => MX2_186_Y, B => MX2_380_Y, S =>
3511
        ADDRA_FF2_3_net, Y => DOUTA(10));
3512
    MX2_26 : MX2
3513
      port map(A => MX2_11_Y, B => MX2_108_Y, S =>
3514
        ADDRA_FF2_2_net, Y => MX2_26_Y);
3515
    MX2_282 : MX2
3516
      port map(A => QAX_TEMPR12_8_net, B => QAX_TEMPR13_8_net,
3517
        S => BUFF_11_Y, Y => MX2_282_Y);
3518
    MX2_48 : MX2
3519
      port map(A => QAX_TEMPR6_9_net, B => QAX_TEMPR7_9_net, S =>
3520
        BUFF_20_Y, Y => MX2_48_Y);
3521
    MX2_55 : MX2
3522
      port map(A => MX2_282_Y, B => QAX_TEMPR14_8_net, S =>
3523
        BUFF_31_Y, Y => MX2_55_Y);
3524
    MX2_188 : MX2
3525
      port map(A => MX2_111_Y, B => MX2_116_Y, S =>
3526
        ADDRB_FF2_2_net, Y => MX2_188_Y);
3527
    AND2A_3 : AND2A
3528
      port map(A => ADDRA(12), B => ADDRA(13), Y => AND2A_3_Y);
3529
    MX2_307 : MX2
3530
      port map(A => MX2_206_Y, B => MX2_72_Y, S =>
3531
        ADDRB_FF2_2_net, Y => MX2_307_Y);
3532
    MX2_95 : MX2
3533
      port map(A => MX2_80_Y, B => MX2_229_Y, S => BUFF_19_Y,
3534
        Y => MX2_95_Y);
3535
    MX2_203 : MX2
3536
      port map(A => QBX_TEMPR10_1_net, B => QBX_TEMPR11_1_net,
3537
        S => BUFF_33_Y, Y => MX2_203_Y);
3538
    ORA_GATE_3_inst : OR2
3539
      port map(A => ENABLE_ADDRA_3_net, B => WEAP, Y =>
3540
        BLKA_EN_3_net);
3541
    MX2_79 : MX2
3542
      port map(A => MX2_166_Y, B => MX2_378_Y, S => BUFF_8_Y,
3543
        Y => MX2_79_Y);
3544
    dual_port_memory_R4C2 : RAM4K9
3545
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3546
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3547
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3548
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3549
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3550
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3551
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3552
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3553
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3554
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3555
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3556
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
3557
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
3558
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3559
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3560
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
3561
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
3562
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3563
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3564
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_4_net,
3565
        BLKB => BLKB_EN_4_net, WENA => RWA, WENB => RWB, CLKA =>
3566
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3567
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3568
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR4_11_net, DOUTA2 =>
3569
        QAX_TEMPR4_10_net, DOUTA1 => QAX_TEMPR4_9_net, DOUTA0 =>
3570
        QAX_TEMPR4_8_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
3571
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3572
        DOUTB3 => QBX_TEMPR4_11_net, DOUTB2 => QBX_TEMPR4_10_net,
3573
        DOUTB1 => QBX_TEMPR4_9_net, DOUTB0 => QBX_TEMPR4_8_net);
3574
    MX2_30 : MX2
3575
      port map(A => MX2_269_Y, B => QBX_TEMPR14_13_net, S =>
3576
        BUFF_4_Y, Y => MX2_30_Y);
3577
    MX2_172 : MX2
3578
      port map(A => QBX_TEMPR0_13_net, B => QBX_TEMPR1_13_net,
3579
        S => BUFF_24_Y, Y => MX2_172_Y);
3580
    MX2_344 : MX2
3581
      port map(A => QBX_TEMPR6_6_net, B => QBX_TEMPR7_6_net, S =>
3582
        BUFF_6_Y, Y => MX2_344_Y);
3583
    MX2_69 : MX2
3584
      port map(A => MX2_330_Y, B => MX2_19_Y, S =>
3585
        ADDRB_FF2_2_net, Y => MX2_69_Y);
3586
    MX2_336 : MX2
3587
      port map(A => MX2_103_Y, B => MX2_224_Y, S => BUFF_7_Y,
3588
        Y => MX2_336_Y);
3589
    NAND2_ENABLE_ADDRB_3_inst : NAND2
3590
      port map(A => AND2_1_Y, B => NOR2_0_Y, Y =>
3591
        ENABLE_ADDRB_3_net);
3592
    MX2_269 : MX2
3593
      port map(A => QBX_TEMPR12_13_net, B => QBX_TEMPR13_13_net,
3594
        S => BUFF_2_Y, Y => MX2_269_Y);
3595
    MX2_291 : MX2
3596
      port map(A => QAX_TEMPR2_7_net, B => QAX_TEMPR3_7_net, S =>
3597
        BUFF_10_Y, Y => MX2_291_Y);
3598
    MX2_297 : MX2
3599
      port map(A => QBX_TEMPR10_14_net, B => QBX_TEMPR11_14_net,
3600
        S => BUFF_16_Y, Y => MX2_297_Y);
3601
    MX2_214 : MX2
3602
      port map(A => QBX_TEMPR6_15_net, B => QBX_TEMPR7_15_net,
3603
        S => BUFF_16_Y, Y => MX2_214_Y);
3604
    NOR2_0 : NOR2
3605
      port map(A => ADDRB(13), B => ADDRB(12), Y => NOR2_0_Y);
3606
    NAND2_ENABLE_ADDRB_9_inst : NAND2
3607
      port map(A => AND2A_1_Y, B => AND2A_6_Y, Y =>
3608
        ENABLE_ADDRB_9_net);
3609
    MX2_208 : MX2
3610
      port map(A => MX2_289_Y, B => MX2_165_Y, S =>
3611
        ADDRB_FF2_2_net, Y => MX2_208_Y);
3612
    dual_port_memory_R9C3 : RAM4K9
3613
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3614
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3615
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3616
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3617
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3618
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3619
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3620
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3621
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3622
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3623
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3624
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
3625
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
3626
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3627
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3628
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
3629
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
3630
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3631
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3632
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_9_net,
3633
        BLKB => BLKB_EN_9_net, WENA => RWA, WENB => RWB, CLKA =>
3634
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3635
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3636
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR9_15_net, DOUTA2 =>
3637
        QAX_TEMPR9_14_net, DOUTA1 => QAX_TEMPR9_13_net, DOUTA0 =>
3638
        QAX_TEMPR9_12_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
3639
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3640
        DOUTB3 => QBX_TEMPR9_15_net, DOUTB2 => QBX_TEMPR9_14_net,
3641
        DOUTB1 => QBX_TEMPR9_13_net, DOUTB0 => QBX_TEMPR9_12_net);
3642
    MX2_284 : MX2
3643
      port map(A => MX2_130_Y, B => MX2_195_Y, S =>
3644
        ADDRA_FF2_2_net, Y => MX2_284_Y);
3645
    dual_port_memory_R10C3 : RAM4K9
3646
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3647
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3648
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3649
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3650
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3651
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3652
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3653
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3654
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3655
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3656
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3657
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
3658
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
3659
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3660
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3661
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
3662
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
3663
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3664
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3665
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_10_net,
3666
        BLKB => BLKB_EN_10_net, WENA => RWA, WENB => RWB, CLKA =>
3667
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3668
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3669
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR10_15_net, DOUTA2 =>
3670
        QAX_TEMPR10_14_net, DOUTA1 => QAX_TEMPR10_13_net,
3671
        DOUTA0 => QAX_TEMPR10_12_net, DOUTB8 => OPEN , DOUTB7 =>
3672
        OPEN , DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3673
        DOUTB3 => QBX_TEMPR10_15_net, DOUTB2 =>
3674
        QBX_TEMPR10_14_net, DOUTB1 => QBX_TEMPR10_13_net,
3675
        DOUTB0 => QBX_TEMPR10_12_net);
3676
    MX2_106 : MX2
3677
      port map(A => MX2_127_Y, B => MX2_55_Y, S =>
3678
        ADDRA_FF2_2_net, Y => MX2_106_Y);
3679
    dual_port_memory_R14C2 : RAM4K9
3680
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3681
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3682
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3683
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3684
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3685
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3686
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3687
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3688
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3689
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3690
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3691
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(11),
3692
        DINA2 => DINA(10), DINA1 => DINA(9), DINA0 => DINA(8),
3693
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3694
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3695
        DINB3 => DINB(11), DINB2 => DINB(10), DINB1 => DINB(9),
3696
        DINB0 => DINB(8), WIDTHA0 => GND_1_net, WIDTHA1 =>
3697
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3698
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3699
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_14_net,
3700
        BLKB => BLKB_EN_14_net, WENA => RWA, WENB => RWB, CLKA =>
3701
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3702
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3703
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR14_11_net, DOUTA2 =>
3704
        QAX_TEMPR14_10_net, DOUTA1 => QAX_TEMPR14_9_net,
3705
        DOUTA0 => QAX_TEMPR14_8_net, DOUTB8 => OPEN , DOUTB7 =>
3706
        OPEN , DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3707
        DOUTB3 => QBX_TEMPR14_11_net, DOUTB2 =>
3708
        QBX_TEMPR14_10_net, DOUTB1 => QBX_TEMPR14_9_net,
3709
        DOUTB0 => QBX_TEMPR14_8_net);
3710
    MX2_332 : MX2
3711
      port map(A => QAX_TEMPR2_0_net, B => QAX_TEMPR3_0_net, S =>
3712
        BUFF_18_Y, Y => MX2_332_Y);
3713
    MX2_DOUTB_13_inst : MX2
3714
      port map(A => MX2_83_Y, B => MX2_259_Y, S =>
3715
        ADDRB_FF2_3_net, Y => DOUTB(13));
3716
    MX2_133 : MX2
3717
      port map(A => MX2_114_Y, B => MX2_250_Y, S => BUFF_25_Y,
3718
        Y => MX2_133_Y);
3719
    MX2_157 : MX2
3720
      port map(A => QBX_TEMPR12_3_net, B => QBX_TEMPR13_3_net,
3721
        S => BUFF_5_Y, Y => MX2_157_Y);
3722
    MX2_105 : MX2
3723
      port map(A => MX2_399_Y, B => MX2_348_Y, S =>
3724
        ADDRA_FF2_2_net, Y => MX2_105_Y);
3725
    BUFF_36 : BUFF
3726
      port map(A => ADDRB_FF2_1_net, Y => BUFF_36_Y);
3727
    MX2_339 : MX2
3728
      port map(A => MX2_192_Y, B => QBX_TEMPR14_14_net, S =>
3729
        BUFF_13_Y, Y => MX2_339_Y);
3730
    MX2_367 : MX2
3731
      port map(A => MX2_125_Y, B => MX2_287_Y, S => BUFF_30_Y,
3732
        Y => MX2_367_Y);
3733
    MX2_263 : MX2
3734
      port map(A => QAX_TEMPR12_11_net, B => QAX_TEMPR13_11_net,
3735
        S => BUFF_14_Y, Y => MX2_263_Y);
3736
    MX2_300 : MX2
3737
      port map(A => QBX_TEMPR12_5_net, B => QBX_TEMPR13_5_net,
3738
        S => BUFF_6_Y, Y => MX2_300_Y);
3739
    ORB_GATE_1_inst : OR2
3740
      port map(A => ENABLE_ADDRB_1_net, B => WEBP, Y =>
3741
        BLKB_EN_1_net);
3742
    MX2_36 : MX2
3743
      port map(A => QAX_TEMPR8_7_net, B => QAX_TEMPR9_7_net, S =>
3744
        BUFF_10_Y, Y => MX2_36_Y);
3745
    MX2_15 : MX2
3746
      port map(A => MX2_375_Y, B => QAX_TEMPR14_2_net, S =>
3747
        BUFF_17_Y, Y => MX2_15_Y);
3748
    dual_port_memory_R8C3 : RAM4K9
3749
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3750
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3751
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3752
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3753
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3754
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3755
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3756
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3757
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3758
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3759
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3760
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
3761
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
3762
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3763
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3764
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
3765
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
3766
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3767
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3768
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_8_net,
3769
        BLKB => BLKB_EN_8_net, WENA => RWA, WENB => RWB, CLKA =>
3770
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3771
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3772
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR8_15_net, DOUTA2 =>
3773
        QAX_TEMPR8_14_net, DOUTA1 => QAX_TEMPR8_13_net, DOUTA0 =>
3774
        QAX_TEMPR8_12_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
3775
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3776
        DOUTB3 => QBX_TEMPR8_15_net, DOUTB2 => QBX_TEMPR8_14_net,
3777
        DOUTB1 => QBX_TEMPR8_13_net, DOUTB0 => QBX_TEMPR8_12_net);
3778
    ORB_GATE_0_inst : OR2
3779
      port map(A => ENABLE_ADDRB_0_net, B => WEBP, Y =>
3780
        BLKB_EN_0_net);
3781
    MX2_27 : MX2
3782
      port map(A => QBX_TEMPR0_5_net, B => QBX_TEMPR1_5_net, S =>
3783
        BUFF_12_Y, Y => MX2_27_Y);
3784
    MX2_268 : MX2
3785
      port map(A => MX2_334_Y, B => QAX_TEMPR14_5_net, S =>
3786
        BUFF_35_Y, Y => MX2_268_Y);
3787
    MX2_316 : MX2
3788
      port map(A => QAX_TEMPR2_1_net, B => QAX_TEMPR3_1_net, S =>
3789
        BUFF_18_Y, Y => MX2_316_Y);
3790
    dual_port_memory_R3C0 : RAM4K9
3791
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3792
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3793
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3794
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3795
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3796
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3797
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3798
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3799
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3800
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3801
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3802
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(3),
3803
        DINA2 => DINA(2), DINA1 => DINA(1), DINA0 => DINA(0),
3804
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3805
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3806
        DINB3 => DINB(3), DINB2 => DINB(2), DINB1 => DINB(1),
3807
        DINB0 => DINB(0), WIDTHA0 => GND_1_net, WIDTHA1 =>
3808
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3809
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3810
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_3_net,
3811
        BLKB => BLKB_EN_3_net, WENA => RWA, WENB => RWB, CLKA =>
3812
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3813
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3814
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR3_3_net, DOUTA2 =>
3815
        QAX_TEMPR3_2_net, DOUTA1 => QAX_TEMPR3_1_net, DOUTA0 =>
3816
        QAX_TEMPR3_0_net, DOUTB8 => OPEN , DOUTB7 => OPEN ,
3817
        DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3818
        DOUTB3 => QBX_TEMPR3_3_net, DOUTB2 => QBX_TEMPR3_2_net,
3819
        DOUTB1 => QBX_TEMPR3_1_net, DOUTB0 => QBX_TEMPR3_0_net);
3820
    WEBUBBLEA : INV
3821
      port map(A => BLKA, Y => WEAP);
3822
    ORA_GATE_11_inst : OR2
3823
      port map(A => ENABLE_ADDRA_11_net, B => WEAP, Y =>
3824
        BLKA_EN_11_net);
3825
    MX2_140 : MX2
3826
      port map(A => QAX_TEMPR0_11_net, B => QAX_TEMPR1_11_net,
3827
        S => BUFF_14_Y, Y => MX2_140_Y);
3828
    MX2_166 : MX2
3829
      port map(A => QAX_TEMPR8_12_net, B => QAX_TEMPR9_12_net,
3830
        S => BUFF_37_Y, Y => MX2_166_Y);
3831
    MX2_386 : MX2
3832
      port map(A => QBX_TEMPR2_7_net, B => QBX_TEMPR3_7_net, S =>
3833
        BUFF_3_Y, Y => MX2_386_Y);
3834
    MX2_5 : MX2
3835
      port map(A => MX2_398_Y, B => MX2_316_Y, S => BUFF_38_Y,
3836
        Y => MX2_5_Y);
3837
    MX2_132 : MX2
3838
      port map(A => QAX_TEMPR10_5_net, B => QAX_TEMPR11_5_net,
3839
        S => BUFF_26_Y, Y => MX2_132_Y);
3840
    AND2A_5 : AND2A
3841
      port map(A => ADDRA(13), B => ADDRA(12), Y => AND2A_5_Y);
3842
    MX2_165 : MX2
3843
      port map(A => MX2_115_Y, B => QBX_TEMPR14_1_net, S =>
3844
        BUFF_36_Y, Y => MX2_165_Y);
3845
    BFF1_2_inst : DFN1
3846
      port map(D => ADDRB(12), CLK => CLKB, Q => ADDRB_FF2_2_net);
3847
    MX2_DOUTA_15_inst : MX2
3848
      port map(A => MX2_335_Y, B => MX2_126_Y, S =>
3849
        ADDRA_FF2_3_net, Y => DOUTA(15));
3850
    MX2_9 : MX2
3851
      port map(A => MX2_402_Y, B => MX2_319_Y, S => BUFF_31_Y,
3852
        Y => MX2_9_Y);
3853
    MX2_82 : MX2
3854
      port map(A => MX2_117_Y, B => MX2_291_Y, S => BUFF_27_Y,
3855
        Y => MX2_82_Y);
3856
    MX2_360 : MX2
3857
      port map(A => QBX_TEMPR2_11_net, B => QBX_TEMPR3_11_net,
3858
        S => BUFF_39_Y, Y => MX2_360_Y);
3859
    dual_port_memory_R14C3 : RAM4K9
3860
      port map(ADDRA11 => GND_1_net, ADDRA10 => GND_1_net,
3861
        ADDRA9 => ADDRA(9), ADDRA8 => ADDRA(8), ADDRA7 =>
3862
        ADDRA(7), ADDRA6 => ADDRA(6), ADDRA5 => ADDRA(5),
3863
        ADDRA4 => ADDRA(4), ADDRA3 => ADDRA(3), ADDRA2 =>
3864
        ADDRA(2), ADDRA1 => ADDRA(1), ADDRA0 => ADDRA(0),
3865
        ADDRB11 => GND_1_net, ADDRB10 => GND_1_net, ADDRB9 =>
3866
        ADDRB(9), ADDRB8 => ADDRB(8), ADDRB7 => ADDRB(7),
3867
        ADDRB6 => ADDRB(6), ADDRB5 => ADDRB(5), ADDRB4 =>
3868
        ADDRB(4), ADDRB3 => ADDRB(3), ADDRB2 => ADDRB(2),
3869
        ADDRB1 => ADDRB(1), ADDRB0 => ADDRB(0), DINA8 =>
3870
        GND_1_net, DINA7 => GND_1_net, DINA6 => GND_1_net,
3871
        DINA5 => GND_1_net, DINA4 => GND_1_net, DINA3 => DINA(15),
3872
        DINA2 => DINA(14), DINA1 => DINA(13), DINA0 => DINA(12),
3873
        DINB8 => GND_1_net, DINB7 => GND_1_net, DINB6 =>
3874
        GND_1_net, DINB5 => GND_1_net, DINB4 => GND_1_net,
3875
        DINB3 => DINB(15), DINB2 => DINB(14), DINB1 => DINB(13),
3876
        DINB0 => DINB(12), WIDTHA0 => GND_1_net, WIDTHA1 =>
3877
        VCC_1_net, WIDTHB0 => GND_1_net, WIDTHB1 => VCC_1_net,
3878
        PIPEA => GND_1_net, PIPEB => GND_1_net, WMODEA =>
3879
        VCC_1_net, WMODEB => VCC_1_net, BLKA => BLKA_EN_14_net,
3880
        BLKB => BLKB_EN_14_net, WENA => RWA, WENB => RWB, CLKA =>
3881
        CLKA, CLKB => CLKB, RESET => RESETP, DOUTA8 => OPEN ,
3882
        DOUTA7 => OPEN , DOUTA6 => OPEN , DOUTA5 => OPEN ,
3883
        DOUTA4 => OPEN , DOUTA3 => QAX_TEMPR14_15_net, DOUTA2 =>
3884
        QAX_TEMPR14_14_net, DOUTA1 => QAX_TEMPR14_13_net,
3885
        DOUTA0 => QAX_TEMPR14_12_net, DOUTB8 => OPEN , DOUTB7 =>
3886
        OPEN , DOUTB6 => OPEN , DOUTB5 => OPEN , DOUTB4 => OPEN ,
3887
        DOUTB3 => QBX_TEMPR14_15_net, DOUTB2 =>
3888
        QBX_TEMPR14_14_net, DOUTB1 => QBX_TEMPR14_13_net,
3889
        DOUTB0 => QBX_TEMPR14_12_net);
3890
    MX2_312 : MX2
3891
      port map(A => QAX_TEMPR2_12_net, B => QAX_TEMPR3_12_net,
3892
        S => BUFF_37_Y, Y => MX2_312_Y);
3893
end DEF_ARCH;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.