OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [sw/] [src/] [main.cpp] - Blame information for rev 60

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 budinero
#include <QtGui/QApplication>
2
#include "rvioscilloscope.h"
3
 
4
int main(int argc, char *argv[])
5
{
6
    QApplication a(argc, argv);
7
    RVIOscilloscope w;
8
    w.show();
9
    return a.exec();
10
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.