OpenCores
URL https://opencores.org/ocsvn/motion_estimation_processor/motion_estimation_processor/trunk

Subversion Repositories motion_estimation_processor

[/] [motion_estimation_processor/] [trunk/] [me_top.mpf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eejlny
; Copyright Mentor Graphics Corporation 2005
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
std = $MODEL_TECH/../std
11
ieee = $MODEL_TECH/../ieee
12
verilog = $MODEL_TECH/../verilog
13
vital2000 = $MODEL_TECH/../vital2000
14
std_developerskit = $MODEL_TECH/../std_developerskit
15
synopsys = $MODEL_TECH/../synopsys
16
modelsim_lib = $MODEL_TECH/../modelsim_lib
17
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
18
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
19
 
20
work = work
21
XilinxCoreLib = D:/programs/xilinx92/vhdl/mti_se/XilinxCoreLib
22
[vcom]
23
; VHDL93 variable selects language version as the default.
24
; Default is VHDL-2002.
25
; Value of 0 or 1987 for VHDL-1987.
26
; Value of 1 or 1993 for VHDL-1993.
27
; Default or value of 2 or 2002 for VHDL-2002.
28
VHDL93 = 2002
29
 
30
; Show source line containing error. Default is off.
31
; Show_source = 1
32
 
33
; Turn off unbound-component warnings. Default is on.
34
; Show_Warning1 = 0
35
 
36
; Turn off process-without-a-wait-statement warnings. Default is on.
37
; Show_Warning2 = 0
38
 
39
; Turn off null-range warnings. Default is on.
40
; Show_Warning3 = 0
41
 
42
; Turn off no-space-in-time-literal warnings. Default is on.
43
; Show_Warning4 = 0
44
 
45
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
46
; Show_Warning5 = 0
47
 
48
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
49
; Optimize_1164 = 0
50
 
51
; Turn on resolving of ambiguous function overloading in favor of the
52
; "explicit" function declaration (not the one automatically created by
53
; the compiler for each type declaration). Default is off.
54
; The .ini file has Explict enabled so that std_logic_signed/unsigned
55
; will match the behavior of synthesis tools.
56
Explicit = 1
57
 
58
; Turn off acceleration of the VITAL packages. Default is to accelerate.
59
; NoVital = 1
60
 
61
; Turn off VITAL compliance checking. Default is checking on.
62
; NoVitalCheck = 1
63
 
64
; Ignore VITAL compliance checking errors. Default is to not ignore.
65
; IgnoreVitalErrors = 1
66
 
67
; Turn off VITAL compliance checking warnings. Default is to show warnings.
68
; Show_VitalChecksWarnings = 0
69
 
70
; Turn off PSL assertion warning messges. Default is to show warnings.
71
; Show_PslChecksWarnings = 0
72
 
73
; Enable parsing of embedded PSL assertions. Default is enabled.
74
; EmbeddedPsl = 0
75
 
76
; Keep silent about case statement static warnings.
77
; Default is to give a warning.
78
; NoCaseStaticError = 1
79
 
80
; Keep silent about warnings caused by aggregates that are not locally static.
81
; Default is to give a warning.
82
; NoOthersStaticError = 1
83
 
84
; Treat as errors:
85
;   case statement static warnings
86
;   warnings caused by aggregates that are not locally static
87
; Overrides NoCaseStaticError, NoOthersStaticError settings.
88
; PedanticErrors = 1
89
 
90
; Turn off inclusion of debugging info within design units.
91
; Default is to include debugging info.
92
; NoDebug = 1
93
 
94
; Turn off "Loading..." messages. Default is messages on.
95
; Quiet = 1
96
 
97
; Turn on some limited synthesis rule compliance checking. Checks only:
98
;    -- signals used (read) by a process must be in the sensitivity list
99
; CheckSynthesis = 1
100
 
101
; Activate optimizations on expressions that do not involve signals,
102
; waits, or function/procedure/task invocations. Default is off.
103
; ScalarOpts = 1
104
 
105
; Turns on lint-style checking.
106
; Show_Lint = 1
107
 
108
; Require the user to specify a configuration for all bindings,
109
; and do not generate a compile time default binding for the
110
; component. This will result in an elaboration error of
111
; 'component not bound' if the user fails to do so. Avoids the rare
112
; issue of a false dependency upon the unused default binding.
113
; RequireConfigForAllDefaultBinding = 1
114
 
115
; Peform default binding at compile time.
116
; Default is to do default binding at load time.
117
; BindAtCompile=1;
118
 
119
; Inhibit range checking on subscripts of arrays. Range checking on
120
; scalars defined with subtypes is inhibited by default.
121
; NoIndexCheck = 1
122
 
123
; Inhibit range checks on all (implicit and explicit) assignments to
124
; scalar objects defined with subtypes.
125
; NoRangeCheck = 1
126
 
127
[vlog]
128
 
129
; Turn off inclusion of debugging info within design units.
130
; Default is to include debugging info.
131
; NoDebug = 1
132
 
133
; Turn on `protect compiler directive processing.
134
; Default is to ignore `protect directives.
135
; Protect = 1
136
 
137
; Turn off "Loading..." messages. Default is messages on.
138
; Quiet = 1
139
 
140
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
141
; Default is off.
142
; Hazard = 1
143
 
144
; Turn on converting regular Verilog identifiers to uppercase. Allows case
145
; insensitivity for module names. Default is no conversion.
146
; UpCase = 1
147
 
148
; Activate optimizations on expressions that do not involve signals,
149
; waits, or function/procedure/task invocations. Default is off.
150
; ScalarOpts = 1
151
 
152
; Turns on lint-style checking.
153
; Show_Lint = 1
154
 
155
; Show source line containing error. Default is off.
156
; Show_source = 1
157
 
158
; Turn on bad option warning. Default is off.
159
; Show_BadOptionWarning = 1
160
 
161
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
162
vlog95compat = 0
163
 
164
; Turn off PSL warning messges. Default is to show warnings.
165
; Show_PslChecksWarnings = 0
166
 
167
; Enable parsing of embedded PSL assertions. Default is enabled.
168
; EmbeddedPsl = 0
169
 
170
; Set the threshold for automatically identifying sparse Verilog memories.
171
; A memory with depth equal to or more than the sparse memory threshold gets
172
; marked as sparse automatically, unless specified otherwise in source code.
173
; The default is 0 (i.e. no memory is automatically given sparse status)
174
; SparseMemThreshold = 1048576
175
 
176
; Set the maximum number of iterations permitted for a generate loop.
177
; Restricting this permits the implementation to recognize infinite
178
; generate loops.
179
; GenerateLoopIterationMax = 100000
180
 
181
; Set the maximum depth permitted for a recursive generate instantiation.
182
; Restricting this permits the implementation to recognize infinite
183
; recursions.
184
; GenerateRecursionDepthMax = 200
185
 
186
 
187
[sccom]
188
; Enable use of SCV include files and library.  Default is off.
189
; UseScv = 1
190
 
191
; Add C++ compiler options to the sccom command line by using this variable.
192
; CppOptions = -g
193
 
194
; Use custom C++ compiler located at this path rather than ModelSim default.
195
; The path should point directly at a compiler executable.
196
; CppPath = /usr/bin/g++
197
 
198
; Enable verbose messages from sccom.  Default is off.
199
; SccomVerbose = 1
200
 
201
; sccom logfile.  Default is no logfile.
202
; SccomLogfile = sccom.log
203
 
204
; Enable use of SC_MS include files and library.  Default is off.
205
; UseScMs = 1
206
 
207
[vsim]
208
 
209
; vopt flow
210
; Set to turn on automatic optimization of a design.
211
; Default is off (pre-6.0 flow without vopt).
212
; VoptFlow = 1
213
 
214
; Simulator resolution
215
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
216
resolution = 1ns
217
 
218
; User time unit for run commands
219
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
220
; unit specified for Resolution. For example, if Resolution is 100ps,
221
; then UserTimeUnit defaults to ps.
222
; Should generally be set to default.
223
UserTimeUnit = default
224
 
225
; Default run length
226
RunLength = 0 ns
227
 
228
; Maximum iterations that can be run without advancing simulation time
229
IterationLimit = 5000
230
 
231
; Contol PSL Assume during simulation
232
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
233
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
234
; SimulateAssumeDirectives = 1
235
 
236
; Directives to license manager can be set either as single value or as
237
; space separated multi-values:
238
; vhdl          Immediately reserve a VHDL license
239
; vlog          Immediately reserve a Verilog license
240
; plus          Immediately reserve a VHDL and Verilog license
241
; nomgc         Do not look for Mentor Graphics Licenses
242
; nomti         Do not look for Model Technology Licenses
243
; noqueue       Do not wait in the license queue when a license is not available
244
; viewsim       Try for viewer license but accept simulator license(s) instead
245
;               of queuing for viewer license (PE ONLY)
246
; Single value:
247
; License = plus
248
; Multi-value:
249
; License = noqueue plus
250
 
251
; Stop the simulator after a VHDL assertion message
252
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
253
BreakOnAssertion = 3
254
 
255
; VHDL assertion Message Format
256
; %S - Severity Level
257
; %R - Report Message
258
; %T - Time of assertion
259
; %D - Delta
260
; %I - Instance or Region pathname (if available)
261
; %i - Instance pathname with process
262
; %O - Process name
263
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
264
; %P - Instance or Region path without leaf process
265
; %F - File
266
; %L - Line number of assertion or, if assertion is in a subprogram, line
267
;      from which the call is made
268
; %% - Print '%' character
269
; If specific format for assertion level is defined, use its format.
270
; If specific format is not define for assertion level, use AssertionFormatBreak
271
; if assertion triggers a breakpoint (controlled by BreakOnAssertion level),
272
; otherwise use AssertionFormat.
273
;
274
; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
275
; AssertionFormatBreak   = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
276
; AssertionFormatNote    = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
277
; AssertionFormatWarning = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
278
; AssertionFormatError   = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
279
; AssertionFormatFail    = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
280
; AssertionFormatFatal  = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
281
 
282
; Assertion File - alternate file for storing VHDL/PSL assertion messages
283
; AssertFile = assert.log
284
 
285
; Default radix for all windows and commands.
286
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
287
DefaultRadix = symbolic
288
 
289
; VSIM Startup command
290
; Startup = do startup.do
291
 
292
; File for saving command transcript
293
TranscriptFile = transcript
294
 
295
; File for saving command history
296
; CommandHistory = cmdhist.log
297
 
298
; Specify whether paths in simulator commands should be described
299
; in VHDL or Verilog format.
300
; For VHDL, PathSeparator = /
301
; For Verilog, PathSeparator = .
302
; Must not be the same character as DatasetSeparator.
303
PathSeparator = /
304
 
305
; Specify the dataset separator for fully rooted contexts.
306
; The default is ':'. For example: sim:/top
307
; Must not be the same character as PathSeparator.
308
DatasetSeparator = :
309
 
310
; Disable VHDL assertion messages
311
; IgnoreNote = 1
312
; IgnoreWarning = 1
313
; IgnoreError = 1
314
; IgnoreFailure = 1
315
 
316
; Default force kind. May be freeze, drive, or deposit
317
; or in other terms, fixed, wired, or charged.
318
; DefaultForceKind = freeze
319
 
320
; If zero, open files when elaborated; otherwise, open files on
321
; first read or write.  Default is 0.
322
; DelayFileOpen = 1
323
 
324
; Control VHDL files opened for write.
325
;   0 = Buffered, 1 = Unbuffered
326
UnbufferedOutput = 0
327
 
328
; Control the number of VHDL files open concurrently.
329
; This number should always be less than the current ulimit
330
; setting for max file descriptors.
331
;   0 = unlimited
332
ConcurrentFileLimit = 40
333
 
334
; Control the number of hierarchical regions displayed as
335
; part of a signal name shown in the Wave window.
336
; A value of zero tells VSIM to display the full name.
337
; The default is 0.
338
; WaveSignalNameWidth = 0
339
 
340
; Turn off warnings from the std_logic_arith, std_logic_unsigned
341
; and std_logic_signed packages.
342
; StdArithNoWarnings = 1
343
 
344
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
345
; NumericStdNoWarnings = 1
346
 
347
; Control the format of a generate statement label. Do not quote it.
348
; GenerateFormat = %s__%d
349
 
350
; Specify whether checkpoint files should be compressed.
351
; The default is 1 (compressed).
352
; CheckpointCompressMode = 0
353
 
354
; List of dynamically loaded objects for Verilog PLI applications
355
; Veriuser = veriuser.sl
356
 
357
; Specify default options for the restart command. Options can be one
358
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
359
; DefaultRestartOptions = -force
360
 
361
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
362
; (> 500 megabyte memory footprint). Default is disabled.
363
; Specify number of megabytes to lock.
364
; LockedMemory = 1000
365
 
366
; HP-UX 11.00 ONLY - Use /usr/lib/libCsup_v2.sl for shared object loading.
367
; This is necessary when C++ files have been compiled with aCC's -AA option.
368
; The default behavior is to use /usr/lib/libCsup.sl.
369
; UseCsupV2 = 1
370
 
371
; Turn on (1) or off (0) WLF file compression.
372
; The default is 1 (compress WLF file).
373
; WLFCompress = 0
374
 
375
; Specify whether to save all design hierarchy (1) in the WLF file
376
; or only regions containing logged signals (0).
377
; The default is 0 (log only regions with logged signals).
378
; WLFSaveAllRegions = 1
379
 
380
; WLF file time limit.  Limit WLF file by time, as closely as possible,
381
; to the specified amount of simulation time.  When the limit is exceeded
382
; the earliest times get truncated from the file.
383
; If both time and size limits are specified the most restrictive is used.
384
; UserTimeUnits are used if time units are not specified.
385
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
386
; WLFTimeLimit = 0
387
 
388
; WLF file size limit.  Limit WLF file size, as closely as possible,
389
; to the specified number of megabytes.  If both time and size limits
390
; are specified then the most restrictive is used.
391
; The default is 0 (no limit).
392
; WLFSizeLimit = 1000
393
 
394
; Specify whether or not a WLF file should be deleted when the
395
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
396
; The default is 0 (do not delete WLF file when simulation ends).
397
; WLFDeleteOnQuit = 1
398
 
399
; Specify whether or not a WLF file should be optimized during
400
; simulation.  If set to 0, the WLF file will not be optimized.
401
; The default is 1, optimize the WLF file.
402
; WLFOptimize = 0
403
 
404
; Specify the name of the WLF file.
405
; The default is vsim.wlf
406
; WLFFilename = vsim.wlf
407
 
408
; Specify the WLF file event collapse mode.
409
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
410
; 1 = Only record values of logged objects at the end of a simulator iteration.
411
;     (same as -wlfcollapsedelta)
412
; 2 = Only record values of logged objects at the end of a simulator time step.
413
;     (same as -wlfcollapsetime)
414
; The default is 1.
415
; WLFCollapseMode = 0
416
 
417
; Specify whether or not integer arrays will appear as memories.
418
; The default is 1 (display integer arrays as memories).
419
; ShowIntMem = 0
420
 
421
; Specify whether or not enumerated type arrays (other than std_logic-based)
422
; will appear as memories.
423
; The default is 1 (display enumerated type arrays as memories).
424
; ShowEnumMem = 0
425
 
426
; Specify whether or not arrays of 3 or more dimensions will appear as memories.
427
; The default is 1 (display 3D+ type arrays as memories).
428
; Show3DMem = 0
429
 
430
; Turn on/off undebuggable SystemC type warnings. Default is on.
431
; ShowUndebuggableScTypeWarning = 0
432
 
433
; Turn on/off unassociated SystemC name warnings. Default is off.
434
; ShowUnassociatedScNameWarning = 1
435
 
436
; Turn on/off PSL assertion pass enable. Default is off.
437
; AssertionPassEnable = 1
438
 
439
; Turn on/off PSL assertion fail enable. Default is on.
440
; AssertionFailEnable = 0
441
 
442
; Set PSL assertion pass limit. Default is 1.
443
; Any positive integer, -1 for infinity.
444
; AssertionPassLimit = -1
445
 
446
; Set PSL assertion fail limit. Default is 1.
447
; Any positive integer, -1 for infinity.
448
; AssertionFailLimit = -1
449
 
450
; Turn on/off PSL assertion pass log. Default is on.
451
; AssertionPassLog = 0
452
 
453
; Turn on/off PSL assertion fail log. Default is on.
454
; AssertionFailLog = 0
455
 
456
; Set action type for PSL assertion fail action. Default is continue.
457
; 0 = Continue  1 = Break  2 = Exit
458
; AssertionFailAction = 1
459
 
460
; Turn on/off code coverage
461
; CodeCoverage = 0
462
 
463
; Count all code coverage condition and expression truth table rows that match.
464
; CoverCountAll = 1
465
 
466
; Turn on/off all PSL cover directive enables.  Default is on.
467
; CoverEnable = 0
468
 
469
; Turn on/off PSL cover log.  Default is off.
470
; CoverLog = 1
471
 
472
; Set "at_least" value for all PSL cover directives.  Default is 1.
473
; CoverAtLeast = 2
474
 
475
; Set weight for all PSL cover directives.  Default is 1.
476
; CoverWeight = 2
477
 
478
; Check vsim plusargs.  Default is 0 (off).
479
; 0 = Don't check plusargs
480
; 1 = Warning on unrecognized plusarg
481
; 2 = Error and exit on unrecognized plusarg
482
; CheckPlusargs = 1
483
 
484
; Load the specified shared objects with the RTLD_GLOBAL flag.
485
; This gives global visibility to all symbols in the shared objects,
486
; meaning that subsequently loaded shared objects can bind to symbols
487
; in the global shared objects.  The list of shared objects should
488
; be whitespace delimited.  This option is not supported on the
489
; Windows or AIX platforms.
490
; GlobalSharedObjectList = example1.so example2.so example3.so
491
 
492
[lmc]
493
; ModelSim's interface to Logic Modeling's SmartModel SWIFT software
494
libsm = $MODEL_TECH/libsm.sl
495
; ModelSim's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
496
; libsm = $MODEL_TECH/libsm.dll
497
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
498
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
499
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
500
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
501
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
502
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
503
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
504
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
505
;  Logic Modeling's SmartModel SWIFT software (Linux)
506
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
507
 
508
; ModelSim's interface to Logic Modeling's hardware modeler SFI software
509
libhm = $MODEL_TECH/libhm.sl
510
; ModelSim's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
511
; libhm = $MODEL_TECH/libhm.dll
512
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
513
; libsfi = /lib/hp700/libsfi.sl
514
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
515
; libsfi = /lib/rs6000/libsfi.a
516
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
517
; libsfi = /lib/sun4.solaris/libsfi.so
518
;  Logic Modeling's hardware modeler SFI software (Windows NT)
519
; libsfi = /lib/pcnt/lm_sfi.dll
520
;  Logic Modeling's hardware modeler SFI software (Linux)
521
; libsfi = /lib/linux/libsfi.so
522
 
523
[msg_system]
524
; Change a message severity or suppress a message.
525
; The format is:  = [,...]
526
; Examples:
527
;   note = 3009
528
;   warning = 3033
529
;   error = 3010,3016
530
;   suppress = 3009,3016,3043
531
; The command verror  can be used to get the complete
532
; description of a message.
533
 
534
[Project]
535
Project_Version = 6
536
Project_DefaultLib = work
537
Project_SortMethod = unused
538
Project_Files_Count = 32
539
Project_File_0 = ./src_me/macroblock_data5.vhd
540
Project_File_P_0 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251019937 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 8 dont_compile 0 vhdl_use93 2002
541
Project_File_1 = ./src_me/macroblock_data6.vhd
542
Project_File_P_1 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251019947 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 9 dont_compile 0 vhdl_use93 2002
543
Project_File_2 = ./src_me/macroblock_data7.vhd
544
Project_File_P_2 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251020022 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 2 dont_compile 0 vhdl_use93 2002
545
Project_File_3 = ./src_me/reference_memory64_remap.vhd
546
Project_File_P_3 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251020715 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 30 dont_compile 0 vhdl_use93 2002
547
Project_File_4 = ./src_me/reference_macroblock_memory64.vhd
548
Project_File_P_4 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1155289844 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 26 dont_compile 0 vhdl_use93 2002
549
Project_File_5 = ./src_me/config.vhd
550
Project_File_P_5 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251019552 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 1 dont_compile 0 vhdl_use93 2002
551
Project_File_6 = ./src_me/me_engine.vhd
552
Project_File_P_6 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251020540 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 17 dont_compile 0 vhdl_use93 2002
553
Project_File_7 = ./src_me/concatenate64.vhd
554
Project_File_P_7 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251019382 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 10 dont_compile 0 vhdl_use93 2002
555
Project_File_8 = ./src_me/reference_data0.vhd
556
Project_File_P_8 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251020652 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 22 dont_compile 0 vhdl_use93 2002
557
Project_File_9 = ./src_me/sad_selector.vhd
558
Project_File_P_9 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251020766 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 29 dont_compile 0 vhdl_use93 2002
559
Project_File_10 = ./src_me/tb_me_top.vhd
560
Project_File_P_10 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251032514 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 16 dont_compile 0 vhdl_use93 2002
561
Project_File_11 = ./src_me/me_control_unit.vhd
562
Project_File_P_11 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251476158 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 15 dont_compile 0 vhdl_use93 2002
563
Project_File_12 = ./src_me/reference_data1.vhd
564
Project_File_P_12 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251020660 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 23 dont_compile 0 vhdl_use93 2002
565
Project_File_13 = ./src_me/reg_memory_dp.vhd
566
Project_File_P_13 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1218451981 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 27 dont_compile 0 vhdl_use93 2002
567
Project_File_14 = ./src_me/point_memory.vhd
568
Project_File_P_14 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1218707764 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 19 dont_compile 0 vhdl_use93 2002
569
Project_File_15 = ./src_me/reference_data2.vhd
570
Project_File_P_15 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251020669 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 24 dont_compile 0 vhdl_use93 2002
571
Project_File_16 = ./src_me/reference_data3.vhd
572
Project_File_P_16 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251020678 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 25 dont_compile 0 vhdl_use93 2002
573
Project_File_17 = ./src_me/me_top.vhd
574
Project_File_P_17 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251454601 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 0 dont_compile 0 vhdl_use93 2002
575
Project_File_18 = ./src_me/dual_port_component.vhd
576
Project_File_P_18 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1208621844 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 13 dont_compile 0 vhdl_use93 2002
577
Project_File_19 = ./src_me/register_file.vhd
578
Project_File_P_19 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251020737 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 28 dont_compile 0 vhdl_use93 2002
579
Project_File_20 = ./src_me/macroblock_data0.vhd
580
Project_File_P_20 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251019787 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 3 dont_compile 0 vhdl_use93 2002
581
Project_File_21 = ./src_me/phy_address.vhd
582
Project_File_P_21 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251020580 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 18 dont_compile 0 vhdl_use93 2002
583
Project_File_22 = ./src_me/reference_memory64_dp_large.vhd
584
Project_File_P_22 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251020697 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 31 dont_compile 0 vhdl_use93 2002
585
Project_File_23 = ./src_me/macroblock_data1.vhd
586
Project_File_P_23 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1201447186 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 4 dont_compile 0 vhdl_use93 2002
587
Project_File_24 = ./src_me/macroblock_data2.vhd
588
Project_File_P_24 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251019868 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 5 dont_compile 0 vhdl_use93 2002
589
Project_File_25 = ./src_me/program_memory.vhd
590
Project_File_P_25 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1218538908 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 20 dont_compile 0 vhdl_use93 2002
591
Project_File_26 = ./src_me/current_macroblock_memory64.vhd
592
Project_File_P_26 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1155889597 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 11 dont_compile 0 vhdl_use93 2002
593
Project_File_27 = ./src_me/range_checker.vhd
594
Project_File_P_27 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251046766 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 21 dont_compile 0 vhdl_use93 2002
595
Project_File_28 = ./src_me/macroblock_data3.vhd
596
Project_File_P_28 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251019895 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 6 dont_compile 0 vhdl_use93 2002
597
Project_File_29 = ./src_me/distance_engine64.vhd
598
Project_File_P_29 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251019710 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 12 dont_compile 0 vhdl_use93 2002
599
Project_File_30 = ./src_me/macroblock_data4.vhd
600
Project_File_P_30 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251019925 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 7 dont_compile 0 vhdl_use93 2002
601
Project_File_31 = ./src_me/forward_engine.vhd
602
Project_File_P_31 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1251019752 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 14 dont_compile 0 vhdl_use93 2002
603
Project_Sim_Count = 0
604
Project_Folder_Count = 0
605
Echo_Compile_Output = 0
606
Save_Compile_Report = 1
607
Project_Opt_Count = 0
608
ForceSoftPaths = 0
609
ReOpenSourceFiles = 1
610
VERILOG_DoubleClick = Edit
611
VERILOG_CustomDoubleClick =
612
VHDL_DoubleClick = Edit
613
VHDL_CustomDoubleClick =
614
PSL_DoubleClick = Edit
615
PSL_CustomDoubleClick =
616
TEXT_DoubleClick = Edit
617
TEXT_CustomDoubleClick =
618
SYSTEMC_DoubleClick = Edit
619
SYSTEMC_CustomDoubleClick =
620
TCL_DoubleClick = Edit
621
TCL_CustomDoubleClick =
622
MACRO_DoubleClick = Edit
623
MACRO_CustomDoubleClick =
624
VCD_DoubleClick = Edit
625
VCD_CustomDoubleClick =
626
SDF_DoubleClick = Edit
627
SDF_CustomDoubleClick =
628
XML_DoubleClick = Edit
629
XML_CustomDoubleClick =
630
LOGFILE_DoubleClick = Edit
631
LOGFILE_CustomDoubleClick =
632
EditorState =
633
Project_Major_Version = 6
634
Project_Minor_Version = 1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.