OpenCores
URL https://opencores.org/ocsvn/motion_estimation_processor/motion_estimation_processor/trunk

Subversion Repositories motion_estimation_processor

[/] [motion_estimation_processor/] [trunk/] [src_me/] [config.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eejlny
----------------------------------------------------------------------------
2
--  This file is a part of the LM VHDL IP LIBRARY
3
--  Copyright (C) 2009 Jose Nunez-Yanez
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  See the file COPYING for the full details of the license.
11
--
12
--  The license allows free and unlimited use of the library and tools for research and education purposes. 
13
--  The full LM core supports many more advanced motion estimation features and it is available under a 
14
--  low-cost commercial license. See the readme file to learn more or contact us at 
15
--  eejlny@byacom.co.uk or www.byacom.co.uk
16
 
17
library IEEE;
18
use IEEE.std_logic_1164.all;
19
use IEEE.std_logic_arith.all;
20
 
21
 
22
package config is
23
 
24
constant CFG_PIPELINE_COUNT : integer := (1); -- Number of integer execution units
25
constant CFG_PIPELINE_COUNT_QP : integer := (0); -- Number of fractional execution units
26
constant CFG_CM : integer := (0); --compact memory configuration switch
27
constant CFG_MV_COST : integer := (0); -- set to one to enable adding the cost of the motion vector to the SAD
28
constant CFG_USE_MVC : integer := (0); -- set to use motion vector candidates
29
 
30
type rest_type_points is array(CFG_PIPELINE_COUNT-1 downto 0) of std_logic_vector(7 downto 0);
31
type rest_type_displacement is array(CFG_PIPELINE_COUNT-1 downto 0) of std_logic_vector(15 downto 0);
32
type rest_type_points_qp is array(CFG_PIPELINE_COUNT_QP-1 downto 0) of std_logic_vector(7 downto 0);
33
type rest_type_displacement_qp is array(CFG_PIPELINE_COUNT_QP-1 downto 0) of std_logic_vector(15 downto 0);
34
type mode_type is (m16x16,m8x8,m16x8,m8x16);
35
type standard_type is (h264,vc1,avs);
36
 
37
 
38
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.