OpenCores
URL https://opencores.org/ocsvn/motion_estimation_processor/motion_estimation_processor/trunk

Subversion Repositories motion_estimation_processor

[/] [motion_estimation_processor/] [trunk/] [src_me/] [current_macroblock_memory64.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eejlny
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2004 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file current_macroblock_memory64.vhd when simulating
30
-- the core, current_macroblock_memory64. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Guide".
33
 
34
-- The synopsys directives "translate_off/translate_on" specified
35
-- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
-- synopsys translate_off
39
LIBRARY ieee;
40
USE ieee.std_logic_1164.ALL;
41
 
42
Library XilinxCoreLib;
43
ENTITY current_macroblock_memory64 IS
44
        port (
45
        addr: IN std_logic_VECTOR(4 downto 0);
46
        clk: IN std_logic;
47
        din: IN std_logic_VECTOR(63 downto 0);
48
        dout: OUT std_logic_VECTOR(63 downto 0);
49
        we: IN std_logic);
50
END current_macroblock_memory64;
51
 
52
ARCHITECTURE current_macroblock_memory64_a OF current_macroblock_memory64 IS
53
 
54
component wrapped_current_macroblock_memory64
55
        port (
56
        addr: IN std_logic_VECTOR(4 downto 0);
57
        clk: IN std_logic;
58
        din: IN std_logic_VECTOR(63 downto 0);
59
        dout: OUT std_logic_VECTOR(63 downto 0);
60
        we: IN std_logic);
61
end component;
62
 
63
-- Configuration specification 
64
        for all : wrapped_current_macroblock_memory64 use entity XilinxCoreLib.blkmemsp_v6_1(behavioral)
65
                generic map(
66
                        c_sinit_value => "0",
67
                        c_reg_inputs => 0,
68
                        c_yclk_is_rising => 1,
69
                        c_has_en => 0,
70
                        c_ysinit_is_high => 1,
71
                        c_ywe_is_high => 1,
72
                        c_ytop_addr => "1024",
73
                        c_yprimitive_type => "32kx1",
74
                        c_yhierarchy => "hierarchy1",
75
                        c_has_rdy => 0,
76
                        c_has_limit_data_pitch => 0,
77
                        c_write_mode => 0,
78
                        c_width => 64,
79
                        c_yuse_single_primitive => 0,
80
                        c_has_nd => 0,
81
                        c_enable_rlocs => 0,
82
                        c_has_we => 1,
83
                        c_has_rfd => 0,
84
                        c_has_din => 1,
85
                        c_ybottom_addr => "0",
86
                        c_pipe_stages => 0,
87
                        c_yen_is_high => 1,
88
                        c_depth => 32,
89
                        c_has_default_data => 0,
90
                        c_limit_data_pitch => 18,
91
                        c_has_sinit => 0,
92
                        c_mem_init_file => "current_macroblock_memory64.mif",
93
                        c_yydisable_warnings => 1,
94
                        c_default_data => "0",
95
                        c_ymake_bmm => 0,
96
                        c_addr_width => 5);
97
BEGIN
98
 
99
U0 : wrapped_current_macroblock_memory64
100
                port map (
101
                        addr => addr,
102
                        clk => clk,
103
                        din => din,
104
                        dout => dout,
105
                        we => we);
106
END current_macroblock_memory64_a;
107
 
108
-- synopsys translate_on
109
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.